大家看看

2005-05-19 12:27 来自版块 - 艺术&帖图区

共享歌曲.

2005-04-13 14:05 来自版块 - MP3 & FLASH 共享

上传[编辑 - 5/26/04 by jesnzhou]

2004-05-26 16:31 来自版块 - 艺术&帖图区

熟悉的陌生人!刚录出炉,大家来听听啊。。。。。

2004-05-26 10:38 来自版块 - 疯狂灌水&& 人生 &&娱乐

搞笑歌曲,大家听听喽~~~

2004-05-19 17:00 来自版块 - 疯狂灌水&& 人生 &&娱乐

死不了。[编辑 - 5/19/04 by jesnzhou]

2004-05-19 16:51 来自版块 - MP3 & FLASH 共享

我自己最早录的一首歌,给大家听。

2004-05-19 16:39 来自版块 - MP3 & FLASH 共享

制作电子数字钟,由一个24进制带进位位计数器和两个60进制带进位位计数器组成电路原理图编译时出错。Node missing scour:clk检查接线没有错误的呀!fenpin模块产生1Hz的脉冲,两个count60分别是分钟和秒的计数模块,count24是小时的计数模块。clr... 全文

2004-05-19 10:31 来自版块 - 

制作电子数字钟,由一个24进制带进位位计数器和两个60进制带进位位计数器组成电路原理图编译时出错。Node missing scour:clk检查接线没有错误的呀! fenpin模块产生1Hz的脉冲,两个count60分别是分钟和秒的计数模块,count24是小时的计数模块。cl... 全文

2004-05-19 10:29 来自版块 - 电子技术基础

制作电子数字钟,由一个24进制带进位位计数器和两个60进制带进位位计数器组成电路原理图编译时出错。Node missing scour:clk检查接线没有错误的呀! 望各位大虾指点。谢谢。 好心人帮助啊。在线等啊。 好人有好报。不胜感激,有留下mail和qq的更好! ... 全文

2004-05-18 16:32 来自版块 - DSP & PLD &FPGA

制作电子数字钟,由一个24进制带进位位计数器和两个60进制带进位位计数器组成电路原理图编译时出错。Node missing scour:clk检查接线没有错误的呀!望各位大虾指点。谢谢。 在线等啊,帮忙啊,好心人!

2004-05-18 16:29 来自版块 - 板卡设计

制作电子数字钟,由一个24进制带进位位计数器和两个60进制带进位位计数器组成电路原理图编译时出错。Node missing scour:clk检查接线没有错误的呀!望各位大虾指点。谢谢。

2004-05-18 16:17 来自版块 - DSP & PLD &FPGA

制作电子数字钟,由一个24进制带进位位计数器和两个60进制带进位位计数器组成电路原理图编译时出错。Node missing scour:clk检查接线没有错误的呀!

2004-05-18 16:10 来自版块 - 用户留言


返回顶部