huiming
驱动小牛
驱动小牛
  • 注册日期2001-05-05
  • 最后登录2009-07-09
  • 粉丝0
  • 关注0
  • 积分1分
  • 威望10点
  • 贡献值0点
  • 好评度0点
  • 原创分0分
  • 专家分0分
阅读:2027回复:10

功能仿真过了,时序仿真不过,怎么办???

楼主#
更多 发布于:2002-12-09 18:56
我用的MAX的开发软件,用ALTERA的7128,现在遇到的问题是时序仿真有警告?都是看不明白的警告?咋办?
驿动的心!放飞的心!勇敢的心!
green_pine
驱动太牛
驱动太牛
  • 注册日期2002-10-22
  • 最后登录2019-06-10
  • 粉丝3
  • 关注0
  • 积分48分
  • 威望599点
  • 贡献值1点
  • 好评度144点
  • 原创分0分
  • 专家分0分
  • 社区居民
沙发#
发布于:2002-12-10 11:02
是不是maxplus,如果是,选中警告后,点help on message
huiming
驱动小牛
驱动小牛
  • 注册日期2001-05-05
  • 最后登录2009-07-09
  • 粉丝0
  • 关注0
  • 积分1分
  • 威望10点
  • 贡献值0点
  • 好评度0点
  • 原创分0分
  • 专家分0分
板凳#
发布于:2002-12-10 14:21
是MAX,点击后提示:
CAUSE: There is more than one logic level driving a bidirectional pin or a group of connected output or bidirectional pins.
ACTION: Set the Output Enable input to the pin to low (0) when the pin is used for input and to high (1) when it is used for output. If multiple pins are tied together, only one Output Enable can be high when that output drives the bus; all others must be low. All Output Enables must be low if the bus is being driven by an input pin.

可我查了很久也没发现我的程序中有多个驱动源啊!!!
 :( :( :(
驿动的心!放飞的心!勇敢的心!
jst7792
论坛版主
论坛版主
  • 注册日期2001-12-10
  • 最后登录2006-11-16
  • 粉丝0
  • 关注0
  • 积分3分
  • 威望1点
  • 贡献值0点
  • 好评度0点
  • 原创分0分
  • 专家分0分
地板#
发布于:2002-12-10 15:22
应该是用波形仿真的时候有些波形没有设置成高阻
兄弟们,给点分吧
huiming
驱动小牛
驱动小牛
  • 注册日期2001-05-05
  • 最后登录2009-07-09
  • 粉丝0
  • 关注0
  • 积分1分
  • 威望10点
  • 贡献值0点
  • 好评度0点
  • 原创分0分
  • 专家分0分
地下室#
发布于:2002-12-10 15:36
斑竹:要么我把project贴上来,你帮我看看吧,我现在真是抓穿了头也没招了
附件名称/大小 下载次数 最后更新
2002-12-10_xio.zip (101KB)  5
驿动的心!放飞的心!勇敢的心!
jst7792
论坛版主
论坛版主
  • 注册日期2001-12-10
  • 最后登录2006-11-16
  • 粉丝0
  • 关注0
  • 积分3分
  • 威望1点
  • 贡献值0点
  • 好评度0点
  • 原创分0分
  • 专家分0分
5楼#
发布于:2002-12-10 23:13
改好了.
附件名称/大小 下载次数 最后更新
2002-12-10_2002-12-10_xio.zip (161KB)  3
兄弟们,给点分吧
huiming
驱动小牛
驱动小牛
  • 注册日期2001-05-05
  • 最后登录2009-07-09
  • 粉丝0
  • 关注0
  • 积分1分
  • 威望10点
  • 贡献值0点
  • 好评度0点
  • 原创分0分
  • 专家分0分
6楼#
发布于:2002-12-11 09:44
感谢斑竹了!搞掂了。可我就看不出你修改了哪些地方,能告诉我你的修改过程吗???
fangfen
 ;) ;) ;) ;) ;) ;)
btw:想问个私人问题,上次你在情感小屋里说的问题不知解决了没有?我挺留意这个话题的,我以前就曾经碰到这个问题!!祝你好运!

[编辑 -  12/11/02 by  huiming]
驿动的心!放飞的心!勇敢的心!
jst7792
论坛版主
论坛版主
  • 注册日期2001-12-10
  • 最后登录2006-11-16
  • 粉丝0
  • 关注0
  • 积分3分
  • 威望1点
  • 贡献值0点
  • 好评度0点
  • 原创分0分
  • 专家分0分
7楼#
发布于:2002-12-11 10:10
把inout端口中in的信号设置成高阻.
个人问题不谈了,伤心.
兄弟们,给点分吧
bj_ma66
驱动牛犊
驱动牛犊
  • 注册日期2002-03-30
  • 最后登录2004-10-31
  • 粉丝0
  • 关注0
  • 积分0分
  • 威望0点
  • 贡献值0点
  • 好评度0点
  • 原创分0分
  • 专家分0分
8楼#
发布于:2002-12-11 10:43
斑竹果然厉害
huiming
驱动小牛
驱动小牛
  • 注册日期2001-05-05
  • 最后登录2009-07-09
  • 粉丝0
  • 关注0
  • 积分1分
  • 威望10点
  • 贡献值0点
  • 好评度0点
  • 原创分0分
  • 专家分0分
9楼#
发布于:2002-12-11 10:58
把inout端口中in的信号设置成高阻.
个人问题不谈了,伤心.

我又在自己的PROJECT中把IN的信号设置成“Z”,但还是不行,真不知道你修改了哪些地方 :( :(
我看到你修改后的PROJECT在波形图中,信号是显示成“PA[7..0]”“PB[7..0]”,而我的只显示成“PA”“PB”,是不是我还有什么地方没设好??
驿动的心!放飞的心!勇敢的心!
jst7792
论坛版主
论坛版主
  • 注册日期2001-12-10
  • 最后登录2006-11-16
  • 粉丝0
  • 关注0
  • 积分3分
  • 威望1点
  • 贡献值0点
  • 好评度0点
  • 原创分0分
  • 专家分0分
10楼#
发布于:2002-12-11 21:39
写成ZZ就OK了,8位宽度.
从SNF中调出来直接就是这个样子.
兄弟们,给点分吧
游客

返回顶部