问一个很菜的问题,我在座dma驱动时,能使用的最大寄存器数是多大?在调用函数HalGatAdapter()时,DEVICE_DESCRIPTION结构中的MaximumLength有限制吗?不能超过多少?我现在需要至少10M的,能满足吗?请速告知,急切,急切,急切,急!急!急!急...
全文
回复(2) 2002-12-06 11:08 来自版块 - 内核编程
表情
sipu最大寄存器数取决于你的硬件。MaximumLength的限制取决于CPU平台。32位是4k,64位8k。 你的10M的要求是什么?内核区的最大好象是300多M,你可以利用google的新闻组搜一下。很详尽的回答都有。(2002-12-10 21:17)
ydyuse我已PM给你。(2002-12-06 11:32)

返回顶部