在VTOOLSD中是否可以设置特殊的编译选项? 比如 /Gs /Gr /Fr之类的。我在.mak文件中(尾部)加了下面几句my.OBJ: my.c set include=$(INCLUDE) set CL= /Zp /W3 /Gs /c /bzalign /Zl /...
全文
回复(4) 2004-01-08 18:52 来自版块 - 内核编程
表情
arthurtu至少你也要这样吧? set CL=$(CL) /Zp... :D(2004-01-09 23:20)
RED_spring“这样可以呀 ” ---这样是哪儿样?怎么我就链接不起? 是不是$include$和$lib$都要从新设? “不该参数也可以啊~_~” ---你的意思是。。。。? (2004-01-08 19:27)
y5318 不该参数也可以啊~_~(2004-01-08 19:18)
arthurtu这样可以呀 :D(2004-01-08 19:03)

返回顶部