CPLD的输出信号要求持续2个时钟周期,但是相应的输入信号只能保持1个周期。怎么用CPLD满足输出的要求?
回复(3) 2004-05-31 13:08 来自版块 - DSP & PLD &FPGA
表情
hwzhou用锁存不是很容易解决问题吗,想保持多少个时钟周期都行。(2004-06-03 17:15)
link_bridge用时钟延时一下,再处理(2004-06-02 11:18)
yechaocn用延时子程序不行吗?(2004-05-31 18:13)

返回顶部