阅读:2303回复:4
请问如何将一串行的数据变成一并行的数据,能否给一个简单的例子!谢谢
请问如何将一串行的数据变成一并行的数据,能否给一个简单的例子!谢谢
|
|
沙发#
发布于:2002-11-13 10:11
如果硬件的话,这样的串入并出的芯片很多的,可以到器件手册上去找一找,很容易着的.软件的话,看看楼上两位的就可以了,资料也很多
|
|
板凳#
发布于:2002-11-12 17:29
data,clk:in std_logic;
q:out std_logic_vector(7 donwto 0); .................... .................... signal qbuff:std_logic_vector(7 downto 0); ................................... variable i :integer range 0 to 7 .................................. qbuff(0)<=data; if(clk\'event and clk=\'1\') then for i in 0 to 6 loop qbuff(i+1)<=qbuff(i) end loop; q<=qbuff; |
|
地板#
发布于:2002-10-14 10:50
请问如何将一串行的数据变成一并行的数据,能否给一个简单的例子!谢谢 如果用硬件的话,用一块移位寄存器吧! |
|
地下室#
发布于:2002-10-07 20:17
module piso (wr,clk,clr,d,q,eno);
input wr,clk,clr; input [7:0] d; output q; output eno; reg [7:0] dbuf; reg [3:0] cnt; assign q=dbuf[7]; assign eno = ~cnt[3]; always @(posedge clk) begin if (~clr) cnt=8; else if(~wr) begin dbuf <= d; cnt=0; end else if (cnt!=8) begin dbuf[7:0] <= {dbuf[6:0],1\'b0}; cnt<=cnt+1; end end endmodule |
|
|