amei
驱动牛犊
驱动牛犊
  • 注册日期2002-03-21
  • 最后登录2006-11-10
  • 粉丝0
  • 关注0
  • 积分30分
  • 威望3点
  • 贡献值0点
  • 好评度3点
  • 原创分0分
  • 专家分0分
阅读:3071回复:3

关于 vhdl中wait语句的用法,论坛老大,帮帮忙啦

楼主#
更多 发布于:2002-12-11 23:12
我在做测试程序时,不可避免的将用到wait语句,我用maxplus的baseline1.0,它的升级版支持wait语句吗?就是不可以用吗?有的书上说只有综合工具不支持这条语句呀!

[编辑 -  12/12/02 by  amei]
green_pine
驱动太牛
驱动太牛
  • 注册日期2002-10-22
  • 最后登录2019-06-10
  • 粉丝3
  • 关注0
  • 积分48分
  • 威望599点
  • 贡献值1点
  • 好评度144点
  • 原创分0分
  • 专家分0分
  • 社区居民
沙发#
发布于:2002-12-12 08:43
wait for 10 ns
精确定时是不可综合的,即使你编译过了,也无法下载
amei
驱动牛犊
驱动牛犊
  • 注册日期2002-03-21
  • 最后登录2006-11-10
  • 粉丝0
  • 关注0
  • 积分30分
  • 威望3点
  • 贡献值0点
  • 好评度3点
  • 原创分0分
  • 专家分0分
板凳#
发布于:2002-12-12 00:23
是的,它不支持吗?那用什么来编译呢?
atuhappy
驱动老牛
驱动老牛
  • 注册日期2002-03-15
  • 最后登录2009-09-09
  • 粉丝0
  • 关注0
  • 积分8分
  • 威望21点
  • 贡献值0点
  • 好评度0点
  • 原创分0分
  • 专家分0分
地板#
发布于:2002-12-11 23:46
WAIT有4中描述:1.process(a,b)2.wait until a=13.wait on a,b
4.wait for 10 ns
其中我在使用“wait for 时间”时,编译无法通过,错误提示:
\" unsupport feature error:condition clause and timeout clause together in a wait statement is not support \"
源程序很简单
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;

entity testwait is
port(a :in std_logic;
     p :out std_logic);
end testwait;

architecture rtl of testwait is
begin
process
begin
p<=a;
wait for 10 ns;
end process;
end rtl;
我在做测试程序时用到 wait语句,发生错误,就做了一个测试wait语句的代码
请问,我的问题究竟在哪?
 


用什么编译的呀,该编译器不支持wait这个语句
是不是用maxplus
在一回首间,才忽然发现,原来,我一生的种种努力,不过只是为了要使周遭的人都对我满意而已。为了要博得他人的称许和微笑,我战战兢兢得将自己套入所有得模式,所有的桎梏。走到中途,才忽然发现,我只剩下一副模糊得面目,和一条不能回头的路...
游客

返回顶部