link_bridge
驱动巨牛
驱动巨牛
  • 注册日期2002-11-28
  • 最后登录2011-05-15
  • 粉丝0
  • 关注0
  • 积分31分
  • 威望13点
  • 贡献值0点
  • 好评度2点
  • 原创分0分
  • 专家分0分
阅读:751回复:2

FIFO出问题了(50分)!

楼主#
更多 发布于:2003-10-22 15:26
我FIFO用的异步时钟。
为什么我读使能早就给出了,而数据要在我读时钟两个周期后才出现呢?
并且有时候还丢掉一位(第一位)数据?
我的FIFO足够大,数据早就写入FIFO里了!

听说是建立保持时间的问题,那位高人帮我解决这个问题!
要求读有效后数据马上送出到线上

[编辑 -  10/22/03 by  link_bridge]
wzhyuan
驱动牛犊
驱动牛犊
  • 注册日期2003-10-22
  • 最后登录2010-11-23
  • 粉丝0
  • 关注0
  • 积分10分
  • 威望1点
  • 贡献值0点
  • 好评度1点
  • 原创分0分
  • 专家分0分
沙发#
发布于:2003-10-22 17:11
IDT的同步FIFO就可以做到呀,高速的出数时间在读使能后3~5ns。
link_bridge
驱动巨牛
驱动巨牛
  • 注册日期2002-11-28
  • 最后登录2011-05-15
  • 粉丝0
  • 关注0
  • 积分31分
  • 威望13点
  • 贡献值0点
  • 好评度2点
  • 原创分0分
  • 专家分0分
板凳#
发布于:2003-10-22 17:28
IDT的同步FIFO就可以做到呀,高速的出数时间在读使能后3~5ns。


谢谢!
我用的是FPGA自带的FIFO
游客

返回顶部