zhaoxinlun
驱动牛犊
驱动牛犊
  • 注册日期2002-09-29
  • 最后登录2004-05-14
  • 粉丝0
  • 关注0
  • 积分0分
  • 威望0点
  • 贡献值0点
  • 好评度0点
  • 原创分0分
  • 专家分0分
阅读:2234回复:4

请问如何将一串行的数据变成一并行的数据,能否给一个简单的例子!谢谢

楼主#
更多 发布于:2002-10-07 15:55
请问如何将一串行的数据变成一并行的数据,能否给一个简单的例子!谢谢
atuhappy
驱动老牛
驱动老牛
  • 注册日期2002-03-15
  • 最后登录2009-09-09
  • 粉丝0
  • 关注0
  • 积分8分
  • 威望21点
  • 贡献值0点
  • 好评度0点
  • 原创分0分
  • 专家分0分
沙发#
发布于:2002-10-07 20:17
module piso (wr,clk,clr,d,q,eno);
input wr,clk,clr;
input [7:0] d;
output q;  
output eno;

reg [7:0] dbuf;
reg [3:0] cnt;
 
assign    q=dbuf[7];
assign    eno = ~cnt[3];

always @(posedge clk)
 begin
  if (~clr)
     cnt=8;
  else
  if(~wr)
    begin
     dbuf <= d;
    cnt=0;
    end
   else
     if (cnt!=8)
        begin
         dbuf[7:0] <= {dbuf[6:0],1\'b0};
         cnt<=cnt+1;
        end
   end

endmodule

在一回首间,才忽然发现,原来,我一生的种种努力,不过只是为了要使周遭的人都对我满意而已。为了要博得他人的称许和微笑,我战战兢兢得将自己套入所有得模式,所有的桎梏。走到中途,才忽然发现,我只剩下一副模糊得面目,和一条不能回头的路...
ljhjiahao
驱动牛犊
驱动牛犊
  • 注册日期2002-10-10
  • 最后登录2002-11-25
  • 粉丝0
  • 关注0
  • 积分0分
  • 威望0点
  • 贡献值0点
  • 好评度0点
  • 原创分0分
  • 专家分0分
板凳#
发布于:2002-10-14 10:50
请问如何将一串行的数据变成一并行的数据,能否给一个简单的例子!谢谢


如果用硬件的话,用一块移位寄存器吧!
winsoul007
驱动牛犊
驱动牛犊
  • 注册日期2002-11-09
  • 最后登录2003-10-31
  • 粉丝0
  • 关注0
  • 积分0分
  • 威望0点
  • 贡献值0点
  • 好评度0点
  • 原创分0分
  • 专家分0分
地板#
发布于:2002-11-12 17:29
data,clk:in std_logic;
q:out std_logic_vector(7 donwto 0);
....................
....................
signal qbuff:std_logic_vector(7 downto 0);
...................................
variable i :integer range 0 to 7
..................................
qbuff(0)<=data;
if(clk\'event and clk=\'1\') then
 for i in 0 to 6 loop
   qbuff(i+1)<=qbuff(i)
end loop;
q<=qbuff;
kxgchina
驱动小牛
驱动小牛
  • 注册日期2002-04-01
  • 最后登录2005-05-05
  • 粉丝0
  • 关注0
  • 积分0分
  • 威望0点
  • 贡献值0点
  • 好评度0点
  • 原创分0分
  • 专家分0分
地下室#
发布于:2002-11-13 10:11
如果硬件的话,这样的串入并出的芯片很多的,可以到器件手册上去找一找,很容易着的.软件的话,看看楼上两位的就可以了,资料也很多
游客

返回顶部