jhwangfei
驱动牛犊
驱动牛犊
  • 注册日期2002-07-13
  • 最后登录2016-01-09
  • 粉丝0
  • 关注0
  • 积分0分
  • 威望0点
  • 贡献值0点
  • 好评度0点
  • 原创分0分
  • 专家分0分
阅读:3423回复:8

请问VHDL中如何在不同PROCESS中为同一信号赋值,有什么技巧

楼主#
更多 发布于:2002-11-29 12:47
VHDL中在不同PROCESS中不能为同一信号直接赋值,如果要这样做有什么技巧
stroll
驱动小牛
驱动小牛
  • 注册日期2001-10-26
  • 最后登录2007-06-08
  • 粉丝0
  • 关注0
  • 积分161分
  • 威望17点
  • 贡献值0点
  • 好评度16点
  • 原创分0分
  • 专家分0分
沙发#
发布于:2002-11-29 13:59
将两个process合并为一个。
green_pine
驱动太牛
驱动太牛
  • 注册日期2002-10-22
  • 最后登录2019-06-10
  • 粉丝3
  • 关注0
  • 积分48分
  • 威望599点
  • 贡献值1点
  • 好评度144点
  • 原创分0分
  • 专家分0分
  • 社区居民
板凳#
发布于:2002-12-07 15:04
我在下面的贴子回答了
文章标题 我用vhdl写8254计数器,出现了些问题, 请高手帮忙看看!谢了

[编辑 -  12/10/02 by  green_pine]
zhpzh
驱动牛犊
驱动牛犊
  • 注册日期2002-05-28
  • 最后登录2005-12-02
  • 粉丝0
  • 关注0
  • 积分1分
  • 威望1点
  • 贡献值0点
  • 好评度0点
  • 原创分0分
  • 专家分0分
地板#
发布于:2002-12-07 15:56
可以用决断函数


仅供参考
ppphaitaoamingp
驱动牛犊
驱动牛犊
  • 注册日期2002-11-05
  • 最后登录2005-05-30
  • 粉丝0
  • 关注0
  • 积分0分
  • 威望0点
  • 贡献值0点
  • 好评度0点
  • 原创分0分
  • 专家分0分
地下室#
发布于:2002-12-08 11:53
可以设置一使能信号,使同一信号在不同的时刻负值.OK
给点分吧,兄弟!
huiming
驱动小牛
驱动小牛
  • 注册日期2001-05-05
  • 最后登录2009-07-09
  • 粉丝0
  • 关注0
  • 积分1分
  • 威望10点
  • 贡献值0点
  • 好评度0点
  • 原创分0分
  • 专家分0分
5楼#
发布于:2002-12-09 12:40
可以设置一使能信号,使同一信号在不同的时刻负值.OK

看不明白,能有例子说明最好!
驿动的心!放飞的心!勇敢的心!
green_pine
驱动太牛
驱动太牛
  • 注册日期2002-10-22
  • 最后登录2019-06-10
  • 粉丝3
  • 关注0
  • 积分48分
  • 威望599点
  • 贡献值1点
  • 好评度144点
  • 原创分0分
  • 专家分0分
  • 社区居民
6楼#
发布于:2002-12-13 14:30
我在下面的贴子回答了
文章标题 我用vhdl写8254计数器,出现了些问题, 请高手帮忙看看!谢了

[编辑 -  12/10/02 by  green_pine]
luorenfei
驱动小牛
驱动小牛
  • 注册日期2003-02-17
  • 最后登录2006-11-30
  • 粉丝0
  • 关注0
  • 积分55分
  • 威望6点
  • 贡献值0点
  • 好评度5点
  • 原创分0分
  • 专家分0分
7楼#
发布于:2003-02-20 14:50
自己写一个适合的判决函数,应用信号的时候调用,就可以在不同的进程中赋值了。很好解决的。
oetek
驱动牛犊
驱动牛犊
  • 注册日期2003-01-18
  • 最后登录2004-12-03
  • 粉丝0
  • 关注0
  • 积分0分
  • 威望0点
  • 贡献值0点
  • 好评度0点
  • 原创分0分
  • 专家分0分
8楼#
发布于:2003-02-20 16:24
VHDL中在不同PROCESS中不能为同一信号直接赋值,可以为不同process分别定义不同的信号,然后再用这些信号的与或逻辑赋给你所要的信号,同样达到目的,ok
大江东去
游客

返回顶部