jhwangfei
驱动牛犊
驱动牛犊
  • 注册日期2002-07-13
  • 最后登录2016-01-09
  • 粉丝0
  • 关注0
  • 积分0分
  • 威望0点
  • 贡献值0点
  • 好评度0点
  • 原创分0分
  • 专家分0分
阅读:1956回复:4

请问VHDL之中双向端口inout的问题

楼主#
更多 发布于:2002-12-02 19:42
我写了一个VHDL程序,其中定义Pb为inout
if(rd=\'0\'and cs=\'0\')then
pb<=\"10010001\";
else
pb<=\"ZZZZZZZZ\";
end if;
在maxplus中编译没错,但仿真时有
warning:fount logic contention at 900ns on node pb2;
并且pb输出不正确的结果,请问这是什么原因
jst7792
论坛版主
论坛版主
  • 注册日期2001-12-10
  • 最后登录2006-11-16
  • 粉丝0
  • 关注0
  • 积分3分
  • 威望1点
  • 贡献值0点
  • 好评度0点
  • 原创分0分
  • 专家分0分
沙发#
发布于:2002-12-02 22:51
仿真的时候把这些脚先全部赋值为高阻,需要的时候再定义具体数值.
兄弟们,给点分吧
wandergone
驱动牛犊
驱动牛犊
  • 注册日期2002-11-13
  • 最后登录2008-11-10
  • 粉丝0
  • 关注0
  • 积分3分
  • 威望3点
  • 贡献值0点
  • 好评度0点
  • 原创分0分
  • 专家分0分
板凳#
发布于:2003-01-06 20:57
仿真时warning,不用管它,实际下载运行是正确的。
green_pine
驱动太牛
驱动太牛
  • 注册日期2002-10-22
  • 最后登录2019-06-10
  • 粉丝3
  • 关注0
  • 积分48分
  • 威望599点
  • 贡献值1点
  • 好评度144点
  • 原创分0分
  • 专家分0分
  • 社区居民
地板#
发布于:2003-01-07 08:46
else
pb<=\"ZZZZZZZZ\";
这两句去掉试试看,去掉应该对你的设计没什么影响
wuhao
驱动小牛
驱动小牛
  • 注册日期2002-06-14
  • 最后登录2005-02-02
  • 粉丝0
  • 关注0
  • 积分0分
  • 威望0点
  • 贡献值0点
  • 好评度0点
  • 原创分0分
  • 专家分0分
地下室#
发布于:2003-01-07 10:42
去  www.altera.com网站上有例子
游客

返回顶部