lotusczy
驱动牛犊
驱动牛犊
  • 注册日期2003-02-20
  • 最后登录2016-01-09
  • 粉丝0
  • 关注0
  • 积分3分
  • 威望30点
  • 贡献值0点
  • 好评度0点
  • 原创分0分
  • 专家分0分
阅读:1684回复:4

verilog 语法

楼主#
更多 发布于:2004-07-27 21:49
module c3(carry,clk);
   output carry;
   input clk;
   reg [3:0] counter4;
   reg  carry;
   initial counter4=0;
   always   @(negedge clk)  begin
      carry=1;
      if (counter4 == 4'b0011)
          counter4<=0;
           carry<=0;
       else    
            carry<=1;
          counter4<=counter4+1;
   end        
endmodule


以上程序为什么不能通过编译,那里有错误,请各位指教,谢谢!用它实现计数器,输出carry脉冲。
magictong
驱动牛犊
驱动牛犊
  • 注册日期2004-03-15
  • 最后登录2007-12-29
  • 粉丝0
  • 关注0
  • 积分103分
  • 威望11点
  • 贡献值0点
  • 好评度10点
  • 原创分0分
  • 专家分0分
沙发#
发布于:2004-07-28 10:56
module new1(carry,clk);
output carry;
input clk;
reg [3:0] counter4;
reg carry;
initial counter4=0;
always @(negedge clk)
begin
carry=1;
if (counter4 == 4'b0011)
begin
counter4<=0;
carry<=0;
end
else
carry<=1;
counter4<=counter4+1;
end
endmodule

应该可以通过编译
lake
驱动小牛
驱动小牛
  • 注册日期2001-09-04
  • 最后登录2004-11-12
  • 粉丝0
  • 关注0
  • 积分0分
  • 威望0点
  • 贡献值0点
  • 好评度0点
  • 原创分0分
  • 专家分0分
板凳#
发布于:2004-07-28 11:21
module c3(carry,clk);
    output carry;
    input clk;

reg [3:0] counter4;
reg carry;

initial counter4=0;
always @(negedge clk)
begin
carry<=1;
if (counter4 == 4'b0011)
begin
counter4<=0;
  carry<=0;
           end
else
begin
carry<=1;
counter4<=counter4+1;
end
end

endmodule :)
iris66
驱动牛犊
驱动牛犊
  • 注册日期2004-08-02
  • 最后登录2004-09-16
  • 粉丝0
  • 关注0
  • 积分0分
  • 威望0点
  • 贡献值0点
  • 好评度0点
  • 原创分0分
  • 专家分0分
地板#
发布于:2004-08-02 15:36
initial counter4=0;
这类语句是不可综合的
我是新手,请多指教。 回答满意,请奖励一下。
lotusczy
驱动牛犊
驱动牛犊
  • 注册日期2003-02-20
  • 最后登录2016-01-09
  • 粉丝0
  • 关注0
  • 积分3分
  • 威望30点
  • 贡献值0点
  • 好评度0点
  • 原创分0分
  • 专家分0分
地下室#
发布于:2004-10-11 14:28
谢谢各位指教
游客

返回顶部