akangquan
驱动牛犊
驱动牛犊
  • 注册日期2003-05-07
  • 最后登录2011-05-24
  • 粉丝0
  • 关注1
  • 积分15分
  • 威望51点
  • 贡献值0点
  • 好评度1点
  • 原创分0分
  • 专家分0分
阅读:2085回复:5

68013的SlaveFifo特殊用法――IN Package

楼主#
更多 发布于:2005-05-26 10:54
我的电路板是由68013 + FPGA 组成,采样SlaveFIFO模式,现在能够实现主机Host与FPGA通信,EP6:AutoIN=1, EP2:AutoOut=0。 不过,现在实现的只是一个功能。 系统还有另外的功能,要求68013的mcu能够与FPGA进行通信,而此时不进行USB传输数据,这个功能目前只实现一半,就是mcu可以发送命令到FPGA,但是不能从FPGA读取正确的数据。 调试现象如下,请高手帮我分析一下。

1.mcu初始化时,设置EP6为AutoIN=0,当mcu给FPGA发送一个要求上传数据的命令后,FPGA能向EP6写数(用示波器看的时序)。但是,在Mcu程序里,查询if(EP2468STAT & 0x20) 时(EP6满标志),标志一直无效。不知为何?
2.mcu初始化时,设置EP6为AUTOIN=1,此时查询if(EP2468STAT & 0x20) 标志,有效,但是,sendBuff = EP6FIFOBUF[0];读取数据时,数据错误,不知为何???

3.疑问。想通过mcu与FPGA进行通信,不通过主机Host参与,在现有的FD(15-0)总线和相关的读写控制等接口基础上,该如何进行? 是用AUTOIN模式,还是MANUAL模式?  请高手指点几招。




akangquan
驱动牛犊
驱动牛犊
  • 注册日期2003-05-07
  • 最后登录2011-05-24
  • 粉丝0
  • 关注1
  • 积分15分
  • 威望51点
  • 贡献值0点
  • 好评度1点
  • 原创分0分
  • 专家分0分
沙发#
发布于:2005-05-26 16:21
又捣鼓了一个上午,半个下午,总算解决了上述问题, 不知是硬件问题,还是哪个地方设置不对头,利用满标志位判断就是不行。。
最后,改成查询计数值就可以了。
hillsky
驱动牛犊
驱动牛犊
  • 注册日期2005-03-28
  • 最后登录2006-04-18
  • 粉丝0
  • 关注0
  • 积分32分
  • 威望4点
  • 贡献值0点
  • 好评度3点
  • 原创分0分
  • 专家分0分
板凳#
发布于:2005-05-27 09:46
你好  qq号有么?
联系一下吧?
我也在做68013
muhero
驱动牛犊
驱动牛犊
  • 注册日期2005-05-25
  • 最后登录2008-06-21
  • 粉丝0
  • 关注0
  • 积分0分
  • 威望0点
  • 贡献值0点
  • 好评度0点
  • 原创分0分
  • 专家分0分
地板#
发布于:2005-05-28 15:42
111111
mablejy0731
驱动牛犊
驱动牛犊
  • 注册日期2005-06-02
  • 最后登录2005-11-16
  • 粉丝0
  • 关注0
  • 积分5分
  • 威望1点
  • 贡献值0点
  • 好评度0点
  • 原创分0分
  • 专家分0分
地下室#
发布于:2005-06-07 20:11
请问楼主,我也做usb+fpga,现在在fpga与usb的通信这里有些问题,我用control panel,ep2,out,ep6,in,可以接收到一堆05
Bulk OUT Transfer
Bulk OUT success.
Buffer Contents
0000  05 05 05 05 05 05 05 05 05 05 05 05 05 05 05 05
0010  05 05 05 05 05 05 05 05 05 05 05 05 05 05 05 05
0020  05 05 05 05 05 05 05 05 05 05 05 05 05 05 05 05
0030  05 05 05 05 05 05 05 05 05 05 05 05 05 05 05 05
Reset Pipe:  Pipe=2
Bulk IN Transfer
Bulk IN success.
Buffer Contents
0000  05 05 05 05 05 05 05 05 05 05 05 05 05 05 05 05
0010  05 05 05 05 05 05 05 05 05 05 05 05 05 05 05 05
0020  05 05 05 05 05 05 05 05 05 05 05 05 05 05 05 05
0030  05 05 05 05 05 05 05 05 05 05 05 05 05 05 05 05
现在fpga写到usb,产生的slwr和flag时序都正确,就是ep6,in,的时候,就提示failed,这个是怎么回事呢?
请帮帮忙,谢了:)
xp_ch
驱动牛犊
驱动牛犊
  • 注册日期2005-07-11
  • 最后登录2007-09-04
  • 粉丝0
  • 关注0
  • 积分6分
  • 威望3点
  • 贡献值0点
  • 好评度0点
  • 原创分0分
  • 专家分0分
5楼#
发布于:2005-07-13 16:47
请问楼主,我做68013+cpld(XC9572XL),现在在cpld与usb的通信这里有些问题,我用control panel,cpld有输出接到68013(SLWR FIFIADR)就找不到设备。当CPLD没有输出接到68013时一切正常。
请问是什么原因 多谢!
游客

返回顶部