xp_001
驱动牛犊
驱动牛犊
  • 注册日期2003-11-09
  • 最后登录2007-09-16
  • 粉丝0
  • 关注0
  • 积分36分
  • 威望5点
  • 贡献值0点
  • 好评度3点
  • 原创分0分
  • 专家分0分
阅读:2148回复:2

请教高人:EPM7128为何不能实现此功能?

楼主#
更多 发布于:2007-08-01 13:17
     其实我想实现很简单得延时功能,用ModelSim6仿真成功,但下载到EPM7128slc-15芯片后,
从示波器看clk40管脚,发现没有信号变化,请教高人是什么原因?
  先谢谢了!
这是源程序:
module xxx(GLC,clk40);
input GLC;
output clk40;
reg clk40;

always @(posedge GLC)
 begin
 clk40=0;
 #30 clk40=1;
 #30 clk40=0;
 #30 clk40=1;
 end
endmodule
zb7401
驱动牛犊
驱动牛犊
  • 注册日期2003-07-05
  • 最后登录2011-02-11
  • 粉丝0
  • 关注0
  • 积分7分
  • 威望74点
  • 贡献值0点
  • 好评度29点
  • 原创分0分
  • 专家分0分
沙发#
发布于:2007-08-06 13:32
#30 clk40=1;
#30 clk40=0;
#30 clk40=1;
只能用于仿真!#30是综合不出来的!需要延时的话可以用计数器实现!或去掉优化!
xp_001
驱动牛犊
驱动牛犊
  • 注册日期2003-11-09
  • 最后登录2007-09-16
  • 粉丝0
  • 关注0
  • 积分36分
  • 威望5点
  • 贡献值0点
  • 好评度3点
  • 原创分0分
  • 专家分0分
板凳#
发布于:2007-08-08 19:50
谢谢zb7401的解答,我用计数器的方法去试试。
游客

返回顶部