liumda
驱动小牛
驱动小牛
  • 注册日期2002-01-23
  • 最后登录2012-07-16
  • 粉丝0
  • 关注0
  • 积分76分
  • 威望48点
  • 贡献值0点
  • 好评度7点
  • 原创分0分
  • 专家分0分
阅读:2038回复:2

请大家帮看看这是什么错误好吗?

楼主#
更多 发布于:2002-11-19 11:09
大家好,请大家帮看看这是什么错误好吗?先谢谢了!!!
错误信息:
TDF syntax error:Expected ASSERT,CONSTANT,DEFINE,DESIGN,FUNCTION,IF,OPTIONS,PARAMETERS,SUBDESIGN,or TITLE but found a symbolic name \"library\"

我用的是MAX PLUS II,是下载的别人的VHDL程序,好象是我的设置有问题,但不知道怎么改。
You Happy,So I Happy!
byeyear
驱动牛犊
驱动牛犊
  • 注册日期2002-11-21
  • 最后登录2016-01-09
  • 粉丝0
  • 关注0
  • 积分23分
  • 威望13点
  • 贡献值0点
  • 好评度2点
  • 原创分0分
  • 专家分0分
沙发#
发布于:2002-11-21 20:33
你用的是不是vhdl语言,查一下程序文件扩展名,应该是*.vhd,如果文件名错了就有这个错误,看样子你的文件扩展名错成.tdf了
liumda
驱动小牛
驱动小牛
  • 注册日期2002-01-23
  • 最后登录2012-07-16
  • 粉丝0
  • 关注0
  • 积分76分
  • 威望48点
  • 贡献值0点
  • 好评度7点
  • 原创分0分
  • 专家分0分
板凳#
发布于:2002-11-22 08:31
我改过来了,太谢谢你了

我是刚学VHDL,有很多问题都不懂,以后还请DX多帮忙呀!!!

QQ:88414948
liumda@163.com
You Happy,So I Happy!
游客

返回顶部