jhwangfei
驱动牛犊
驱动牛犊
  • 注册日期2002-07-13
  • 最后登录2016-01-09
  • 粉丝0
  • 关注0
  • 积分0分
  • 威望0点
  • 贡献值0点
  • 好评度0点
  • 原创分0分
  • 专家分0分
阅读:2095回复:3

请教一VHDL方面的问题!

楼主#
更多 发布于:2002-11-25 18:43
我用VHDL在MaxplusII下 写了一个程序,结果报下面的错:
Error:Node\':334.IN1\'missing source
Error:Node\':337.IN1\'missing source
Error:Node\':343.IN1\'missing source
Error:Node\':346.IN1\'missing source
...
请问什么地方出了问题
lilitdl
驱动小牛
驱动小牛
  • 注册日期2002-10-09
  • 最后登录2005-04-14
  • 粉丝0
  • 关注0
  • 积分5分
  • 威望1点
  • 贡献值0点
  • 好评度0点
  • 原创分0分
  • 专家分0分
沙发#
发布于:2002-11-25 22:19
把你的情况说得更详细一些。
jhwangfei
驱动牛犊
驱动牛犊
  • 注册日期2002-07-13
  • 最后登录2016-01-09
  • 粉丝0
  • 关注0
  • 积分0分
  • 威望0点
  • 贡献值0点
  • 好评度0点
  • 原创分0分
  • 专家分0分
板凳#
发布于:2002-11-27 19:57
又出现这样一个错误是什么意思
Else Clause following a clock edge must hold the state of signal \"out0
atuhappy
驱动老牛
驱动老牛
  • 注册日期2002-03-15
  • 最后登录2009-09-09
  • 粉丝0
  • 关注0
  • 积分8分
  • 威望21点
  • 贡献值0点
  • 好评度0点
  • 原创分0分
  • 专家分0分
地板#
发布于:2002-11-28 14:26
我用VHDL在MaxplusII下 写了一个程序,结果报下面的错:
Error:Node\':334.IN1\'missing source
Error:Node\':337.IN1\'missing source
Error:Node\':343.IN1\'missing source
Error:Node\':346.IN1\'missing source
...
请问什么地方出了问题


没有输入信号
在一回首间,才忽然发现,原来,我一生的种种努力,不过只是为了要使周遭的人都对我满意而已。为了要博得他人的称许和微笑,我战战兢兢得将自己套入所有得模式,所有的桎梏。走到中途,才忽然发现,我只剩下一副模糊得面目,和一条不能回头的路...
游客

返回顶部