lovedo
驱动牛犊
驱动牛犊
  • 注册日期2001-11-22
  • 最后登录2018-05-29
  • 粉丝0
  • 关注0
  • 积分13分
  • 威望19点
  • 贡献值0点
  • 好评度8点
  • 原创分0分
  • 专家分0分
阅读:1419回复:1

ATMEL 的CPLD 器件

楼主#
更多 发布于:2004-02-10 15:13
我用ATMEL的CPLD 器件,有专用编译器生成JED文件,且有工具能转换ALTERA的POF 文件。请教有谁见过反向转换的软件。
即是将ATMEL 的JED文件换成ALTERA 的POF 文件。 :D :D
我执着,我努力
scsi
驱动中牛
驱动中牛
  • 注册日期2002-03-22
  • 最后登录2011-03-09
  • 粉丝0
  • 关注0
  • 积分1000分
  • 威望116点
  • 贡献值0点
  • 好评度106点
  • 原创分0分
  • 专家分0分
沙发#
发布于:2004-07-21 13:40
POF文件是门级连接,而这两器件内部结构不一样,无法转.
游客

返回顶部