dswei
驱动牛犊
驱动牛犊
  • 注册日期2003-03-19
  • 最后登录2010-06-13
  • 粉丝0
  • 关注0
  • 积分7分
  • 威望40点
  • 贡献值0点
  • 好评度0点
  • 原创分0分
  • 专家分0分
阅读:975回复:2

std_logic_vector类型的信号量+1操作应包含什么库

楼主#
更多 发布于:2004-02-27 16:17
std_logic_vector类型的信号量+1操作应包含什么库
dswei
驱动牛犊
驱动牛犊
  • 注册日期2003-03-19
  • 最后登录2010-06-13
  • 粉丝0
  • 关注0
  • 积分7分
  • 威望40点
  • 贡献值0点
  • 好评度0点
  • 原创分0分
  • 专家分0分
沙发#
发布于:2004-02-27 16:25
哦,知道了,use ieee.std_logic_unsigned.all;
magictong
驱动牛犊
驱动牛犊
  • 注册日期2004-03-15
  • 最后登录2007-12-29
  • 粉丝0
  • 关注0
  • 积分103分
  • 威望11点
  • 贡献值0点
  • 好评度10点
  • 原创分0分
  • 专家分0分
板凳#
发布于:2004-03-15 15:09
呵呵,我以前也遇到过!
游客

返回顶部