waterbird521
驱动牛犊
驱动牛犊
  • 注册日期2003-05-13
  • 最后登录2016-01-09
  • 粉丝0
  • 关注0
  • 积分103分
  • 威望18点
  • 贡献值0点
  • 好评度0点
  • 原创分0分
  • 专家分0分
阅读:1487回复:0

计数器的问题,请高手看看。

楼主#
更多 发布于:2005-07-21 20:13
  程序中的一段是这样的:
......
signal count : integer range 0 to 32;
......
if(count=0)then
  ......
  count<=count+1;
end if;
if (count>0 and count<15)then
  .....
  count<=count+1;
elsif(count=15)then
  ......
  count<=count+1;
elsif(count=16)then
  .....
  count<=0;
end if;

程序的运行基本是受count的控制。程序刚开始运行一切正常,大约4分钟之后,程序就
飞了,进入了非设想的状态。请问这个计数器count的用法是否有问题?还是在if中一定
要加上else语句的处理?
游客

返回顶部