大家好,请大家帮看看这是什么错误好吗?先谢谢了!!!错误信息:TDF syntax error:Expected ASSERT,CONSTANT,DEFINE,DESIGN,FUNCTION,IF,OPTIONS,PARAMETERS,SUBDESIGN,or TITLE but...
全文
回复(2) 2002-11-19 11:09 来自版块 - DSP & PLD &FPGA
表情
liumda我改过来了,太谢谢你了 我是刚学VHDL,有很多问题都不懂,以后还请DX多帮忙呀!!! QQ:88414948 liumda@163.com(2002-11-22 08:31)
byeyear你用的是不是vhdl语言,查一下程序文件扩展名,应该是*.vhd,如果文件名错了就有这个错误,看样子你的文件扩展名错成.tdf了(2002-11-21 20:33)

返回顶部