从今天起,偶们共同来探讨如何用CPLD/FPGA来实现PCI接口,内容由浅入深,实现的PCI功能由简到繁,从简单slave接口到master的总线仲裁。望大家积极参与和验证。 申明一下,偶本人之前并没有做过用逻辑来实现PCI接口的东西,只是用AMCC5933、PLX...
全文
回复(45) 2004-12-27 20:04 来自版块 - DSP & PLD &FPGA
表情
berrie支持!!!(2005-01-06 21:47)
cover_me状态机,状态机......(2005-01-06 21:20)
lsn_061没有关系niwenchuan..请以后多来支持,主要问题说清楚就好了.. :P,还有楼主,我没什么实践经验,那好,您说得对,正跟您学者呢.... ;)(2005-01-06 14:14)
niwenchuan我也是在降成本的情况下完成了PCI SLAVE 33M 32BIT的卡(PLD和FPGA)都做过.可惜代码是公司的资产,不能公布,不过我觉得重要的是实现读写状态机和配置空间的实现是最重要的.只要你能把这两项真正理解了,其实也很简单.(2005-01-06 13:31)
cover_me请教版主一个问题,PCI总线的状态机是从PCI协议里的那些时序图中整理出来的吗?如果是的话,能否介绍一下思路啊。我想这个也许更重要,更有用些吧。(2005-01-05 21:38)
lllggglsn_061,有没有这方面的经验共享一下?:) 你贴的那个PCICORE好大啊,是用AHDL写的呃,是个大全类的东东吧?望之而却步啊,偶们还是从根部用VHDL慢慢实现起来好一些,先理解原理再去设计,比直接看代码来的快,何况代码是AHDL呢,你说呢?。(2005-01-05 19:12)
lllggg求助: 以前写比较复杂一点的逻辑,在QUARTUS II里,都是在顶层用框图(BLOCK diagram)来描述各个模块的关系,然后对各个模块用VHDL或A-HDL语言来实施。但受BBS的限制,目前只能全部用VHDL来写。偶的问题是如何用VHDL来做层次化的设计? 比如,上面代...(2005-01-05 19:00)
lllggg先把今晚写的状态机提上来大家琢磨一下,项目的整体架构偶还要研究一下。这里头DEVSEL是对地址期的地址进行译码输出的信号,在这里作为状态机的输入。ERR是错误标志,如果为1需要往PCI上发STOP信号的。 具体讨论偶明天另提一个。 library IEEE; use I...(2005-01-04 20:28)
lsn_061你好,别听我老弟lucaer在这里瞎诈唬啊! 我仔细的看了您写得文章,真是太好了,我看了这么多帖子和pdf也没搞明白怎么会事,现在很是明白了,不过我还有个小小的提议,能不能开个专门的至顶帖子,这样便于讨论,也不至于打断您的思路,不过到最后如能在软件上面仿真或者您能提供开发板就好...(2005-01-04 20:07)
lucaer最近忙的很 没来?(2005-01-04 16:00)

返回顶部