简介:WHSOC开发板采用ALTERA最新的PSOC芯片EXPA1F484C。该芯片内部集成一颗ARM922T CPU和APEX20KE PLD(10万到100万门).丰富的外设加上灵活的可配置逻辑资源,为软硬件工程师提供了一个理想的开发试验平台。即可用来开发嵌入式系统,也可用来...
全文
回复(3) 2003-09-19 09:45 来自版块 - FirmWare
表情
jyc_1还是报一下价吧!(2003-10-12 08:17)
link_bridge价格怎样? 技术支持呢?(2003-10-01 23:53)
algous163详情见:www.weihuatech.com(2003-09-24 09:34)

返回顶部