SOC IC验证平台 简介: SOC开发板采用ALTERA最新的PSOC芯片EXPA1F484C。该芯片内部集成一颗ARM922T CPU和APEX20KE PLD(10万到100万门).丰富的外设加上灵活的可配置逻辑资源,为软硬件工程师提供了一个理想的开发试验平台。即可用来开... 全文

2004-08-11 18:19 来自版块 - 集成电路设计(IC)

SOC IC验证平台 简介: SOC开发板采用ALTERA最新的PSOC芯片EXPA1F484C。该芯片内部集成一颗ARM922T CPU和APEX20KE PLD(10万到100万门).丰富的外设加上灵活的可配置逻辑资源,为软硬件工程师提供了一个理想的开发试验平台。即可用来开... 全文

2004-08-11 18:15 来自版块 - DSP & PLD &FPGA

简介:WHSOC开发板采用ALTERA最新的PSOC芯片EXPA1F484C。该芯片内部集成一颗ARM922T CPU和APEX20KE PLD(10万到100万门).丰富的外设加上灵活的可配置逻辑资源,为软硬件工程师提供了一个理想的开发试验平台。即可用来开发嵌入式系统,也可用来... 全文

2003-09-19 09:45 来自版块 - FirmWare

soc开发简介:SOC开发板采用ALTERA最新的PSOC芯片EXPA1F484C。该芯片内部集成一颗ARM922T CPU和APEX20KE PLD(10万到100万门).丰富的外设加上灵活的可配置逻辑资源,为软硬件工程师提供了一个理想的开发试验平台。即可用来开发嵌入式系统,也... 全文

2003-09-17 09:36 来自版块 - 集成电路设计(IC)

soc开发简介:SOC开发板采用ALTERA最新的PSOC芯片EXPA1F484C。该芯片内部集成一颗ARM922T CPU和APEX20KE PLD(10万到100万门).丰富的外设加上灵活的可配置逻辑资源,为软硬件工程师提供了一个理想的开发试验平台。即可用来开发嵌入式系统,... 全文

2003-09-17 09:35 来自版块 - DSP & PLD &FPGA

有个fifo模块:library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_arith.all;entity atahost_fifo isgeneric(DEPTH : natural := 31; ... 全文

2003-08-11 15:39 来自版块 - DSP & PLD &FPGA

via网站上提供的datasheet毫无疑义,有没有跟详细的资料?

2003-07-17 16:00 来自版块 - FirmWare


返回顶部