有个fifo模块:library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_arith.all;entity atahost_fifo isgeneric(DEPTH : natural := 31; ...
全文
回复(3) 2003-08-11 15:39 来自版块 - DSP & PLD &FPGA
表情
algous163顶一下 (2003-08-15 12:18)
algous163 你没明白我的意思,我不是不明白FIFO。 我是说: 搞不明白,fifo里面的读写指针为什么要用LFSR的输出,LFSR的输出是不连续的,mem里面有些位置不就没使用上马? LFSR用在这里有什么好处,有一个一般的计数器不行马? LFSR和计数器(counter=c...(2003-08-12 10:25)
guqs77程序懒得看 可以去参考一下Altera公司的LPM_FIFO是如何编写的(2003-08-11 16:21)

返回顶部