制作电子数字钟,由一个24进制带进位位计数器和两个60进制带进位位计数器组成电路原理图编译时出错。Node missing scour:clk检查接线没有错误的呀!
回复(0) 2004-05-18 16:10 来自版块 - 用户留言
表情
啊哦,还没有人评论哦,赶快抢个沙发!

返回顶部