设计中使用了FIFO(2048×16),写时钟80M,读时钟120M,想实现如下功能:当FIFO中的数据写到1024字时,开始读FIFO(此时仍在写入数据)。可是仿真时发现读出的数据有时正确,有时错误;当修改了设计中某个和FIFO读写没有关系的逻辑时也会影响数据读出的正确性。是不...
全文
回复(0) 2005-03-04 10:41 来自版块 - DSP & PLD &FPGA
表情
啊哦,还没有人评论哦,赶快抢个沙发!

返回顶部