设计了一块PCI卡,用的9054+Altera的7128,PCI接口部分没有问题.就是CPLD的一个控制信号不稳定,有的机器上正常,有的机器上不正常,不知问题在哪里.郁闷死了!
回复(1) 2004-11-02 21:45 来自版块 - DSP & PLD &FPGA
表情
Tom.Cat用户被禁言,该主题自动屏蔽!(2004-11-05 12:13)

返回顶部