std_logic_vector类型的信号量+1操作应包含什么库
回复(2) 2004-02-27 16:17 来自版块 - DSP & PLD &FPGA
表情
magictong呵呵,我以前也遇到过!(2004-03-15 15:09)
dswei哦,知道了,use ieee.std_logic_unsigned.all;(2004-02-27 16:25)

返回顶部