library IEEE;use IEEE.STD_LOGIC_1164.ALL;use IEEE.STD_LOGIC_ARITH.ALL;use IEEE.STD_LOGIC_UNSIGNED.ALL;entity XC2S15 is Port ( CP : IN STD...
全文
回复(0) 2004-06-03 09:20 来自版块 - DSP & PLD &FPGA
表情
啊哦,还没有人评论哦,赶快抢个沙发!

返回顶部