以下为我所编的计数器起始值为X1000,终值为X2000。编译没问题,但仿真的时候,输出波形有毛刺,不知是否是程序结构有问题?library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;enti...
全文
回复(8) 2003-12-31 15:55 来自版块 - DSP & PLD &FPGA
表情
yelei如果有时间,可以研究动态步长的算法,解决毛刺效果不错。(2004-03-24 15:51)
yelei修改程序的算法可以解决的。比如增加技术其时钟的步长(2004-03-23 14:47)
amekleTO magictong: 望老兄告知具体的xilinx中counter的网址 (2004-03-19 10:48)
magictongXILINX 中有COUNTER 程序DEMO样板 感觉你的结构不对,看看你的RTL图(2004-03-15 15:16)
amekle本人是初学者,望X_ray能给予指正。谢谢 (2004-02-25 10:52)
X_ray这样的计数器怎么可以用呢?问题在这里 if(ref_timer = X\"2000\" ) then ref_timer:=X\"1000\"; (2004-01-13 08:00)
ray.ly那是正常的不是毛刺,因为记数器的不同位有几ns的时差,所以记数总线看起来好象有毛刺。 同意(2004-01-07 14:04)
link_bridge那是正常的不是毛刺,因为记数器的不同位有几ns的时差,所以记数总线看起来好象有毛刺。(2003-12-31 16:30)

返回顶部