遇到一个问题,要实现双边沿触发,在触发信号上升沿与下降沿对另一信号赋不同的值。将上升沿与下降沿的判别放在同一进程中不行,会出现“else clause following a clock edge must hold the state of signal”错误,放在不同进程里,...
全文
回复(3) 2004-07-20 09:38 来自版块 - DSP & PLD &FPGA
表情
clrboyi你好 你弄好了吗?怎么实现双边沿触发 啊?(2010-10-24 13:41)
emuguy谢谢link_bridge的帮助 [编辑 - 7/22/04 by emuguy](2004-07-22 17:16)
link_bridge用芯片里面的资源可以的,你可以咨询FAE. 应该是一个叫fddrrse的东西,帮助里面可以看看(2004-07-21 18:44)

返回顶部