在MAXPLUS II 9.23版下编译通不过。说有一个错误,但是提示是一堆乱七八糟的符号,不知道该怎么改。急求哪位高手帮忙指点一下!!!!请一定要帮帮忙!!!多谢!!我的邮箱是lemmon8017@163.com程序是HDLC的发送部分。library IEEE;use IEE... 全文

2005-06-17 13:20 来自版块 - DSP & PLD &FPGA

上次发了贴,都没人理我一下。可是还是实在不会改啊!求哪位指点我一下吧。我都要急死了!我是用VHDL语言编程序。但每次用MAXPLUS2编译的时候,每次都是:TDF syntax error:Expected ASSERT ,CONSTANT,DEFINE,DESIGN,FUNC... 全文

2005-06-03 19:27 来自版块 - DSP & PLD &FPGA

用VHDL语言编程时,每次编译时都只运行到第一行(library ieee)就停住了,说语法错误。请哪位指点一下,是masplus程序装的有问题,还是有什么应该设的地方没设到。不知道该怎么改啊。多谢!!

2005-06-01 11:14 来自版块 - DSP & PLD &FPGA


返回顶部