从PCI中引出来数字信号线到另一块板上,线比较长的话会不会有什么问题?这方面没经验,知道的朋友麻烦告一下吧谢谢。

2004-03-22 00:57 来自版块 - 板卡设计

设定的CS0和CS1有什么讲究吗?我的CS0的地址设定在LOCAL SPACE 1中,LS1是IO访问的,但现在对CS0的地址范围内的地址写,CS0没有反应,这是怎么回事?谢谢。

2004-02-17 23:01 来自版块 - 板卡设计

设定的CS0和CS1有什么讲究吗?我的CS0的地址设定在LOCAL SPACE 1中,LS1是IO访问的,但现在对CS0的地址范围内的地址写,CS0没有反应,这是怎么回事?谢谢。

2004-02-17 21:45 来自版块 - ABC初学者

新手做了一个PCI卡,用的是PCI9052,EEPROM用的精华区里的那个,基本没大问题,由于定义了CS0和CS1为两个芯片的访问控制,所以需要向其写入数据,在VC中用下面的语句:_outp(CS0Address,XXX);其中CS0Address是直接从CS0BASE中读出来的... 全文

2004-02-08 17:25 来自版块 - 板卡设计

今天终于又连上了,发现最后一个帖子是我三天前发的问题,难道这么好的网站的服务器不好吗?

2003-11-17 15:54 来自版块 - PLX PCI 开发板支持

1、内存空间和IO空间如何确定,需要我对自己使用的电脑进行详细的检查以了解相关信息吗?大家一般是用多少的?2、那些寄存器的地址(例如50H)是相对于什么的偏移值?先提两个问题吧,我是新手,希望以后成为大牛,但现在正在走出第一步,希望能得到大家的支持。谢谢。

2003-08-14 22:51 来自版块 - 板卡设计


返回顶部