我要做一个pci卡,卡上的芯片即可以做host,也可以做device,但没办法当作桥来用。我现在即要用该芯片控制板卡上的其他pci设备,如以太网芯片等,又要与pc通讯,请问能够实现吗?谢谢!

2005-03-16 10:00 来自版块 - 板卡设计

有谁设计过主板或者研究过PCI规范?可否解释一下PCI规范中host bridge是如何分配外设IDSEL的?规范中讲总共有32个device number,但是只能用21个,一般主板设计只用16个,17~32的设备因为没有IDSEL线分配,所以被忽略。到底怎么回事呀?

2004-06-29 15:41 来自版块 - 板卡设计

我用9052做的PCI卡,系统找不到,可能会是什么问题?我核对以前做成功的卡,查了原理图与布线图,没发现问题,就把PCI总线加粗,又做了一块卡,结果还是不行。谁能救我?LOCAL端的布线能影响到系统找卡吗?

2003-12-16 16:41 来自版块 - 板卡设计

问大家两个问题:1,请问PCI槽上的时钟信号是什么性质的输出?我发现如果没有插任何PCI卡,空测这个信号,主板上电几秒钟后就测不到了。不知是否应该如此。2,我做了一块PCI卡,开机检不到新硬件,测9052的时钟输入脚,发现上电几秒钟33M的时钟就没有了,不知怎么回事,大家遇到过吗... 全文

2003-11-26 09:57 来自版块 - 板卡设计

请教有限状态机的问题。在VHDL中设计有限状态机使用process语句来判断current_state的改变,如果current_state不改变,是不是就不会触发这个进程?如果不触发这个进程,那永远也采集不到输入信号,也就永远不会改变next_state,那么执行current... 全文

2003-11-19 10:33 来自版块 - DSP & PLD &FPGA

1,论坛里这么多人用9054设计板卡,那你们都实现什么功能呢?是不是这些功能9052不能实现?2,许多人都在使用dma方式,是不是你们对传输速度要求很高,9052达不到?之所以问大家这个问题,是想考虑一下是否有必要花时间再去啃9054的datasheet以备不时之需。9052我搞... 全文

2003-10-09 15:46 来自版块 - 板卡设计

1,9052能否实现dma功能?2,用9052的burst,continue burst和用9054的dma方式,这三种方式总线的读写速度差别大不大?谢谢!

2003-09-29 12:15 来自版块 - 板卡设计

我的fpga与sram打交道,本想写入再读出,结果发现读出的全是0。我任取了一位d1往回读,结果能读出来,而且是正确的。不知为何8位同时读读不回来?我将双向口改为单向,也读不回来。下面是程序:library ieee;use ieee.std_logic_1164.all;use... 全文

2003-07-31 13:04 来自版块 - DSP & PLD &FPGA

我在使用maxplusII进行编译时遇到问题,我的inout端口总是报错,报错内容与opndrn有关,我估计是open drain的意思。不明白怎么回事,还请高手帮助。

2003-03-24 13:53 来自版块 - DSP & PLD &FPGA

library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_arith.all;use ieee.std_logic_unsigned.all;entity questionisport(cs0:instd_logic;... 全文

2003-03-20 16:09 来自版块 - DSP & PLD &FPGA

请问如何在FPGA内部设置寄存器?我需要做几个端口,作为访问板卡的口地址。大家都说使用CASE WHEN来实现,但是,访问不同的口地址转到不同的操作后再应该怎么做呢?这方面我是第一次做,可能就一层窗户纸没有捅破,希望大家指点一下。谢谢!

2003-03-19 11:06 来自版块 - DSP & PLD &FPGA

1,在PROCESS中如何实现计数?我想对地址计数,也就是访问到一定次数,输出一个信号。我现在的做法是定义一个信号,每次PROCESS实现自加一,到时候再判断,仿真发现不行。请问该怎么解决?2,请问输出信号的初始值该怎么设置?比如我定义的OUTPUT低电平有效,但仿真开始的值总是... 全文

2003-02-26 11:30 来自版块 - DSP & PLD &FPGA

假如板卡上总共有2M的内存,通过配置LASxRR向系统申请了两块1M的内存空间。那么请问:1,在CSxBASE中,可以任意设置这两块内存空间的基址吗?2,假如在CSxBASE中分别设置了基址,那么上层软件分别访问两块内存空间时,LOCAL BUS端的地址信息是基址+1M偏移量吗?... 全文

2003-02-21 15:52 来自版块 - 板卡设计

你对pci卡的数据传输速度有没有了解?(非DMA方式)

2003-02-19 09:49 来自版块 - 板卡设计

诸位大侠使用9052时有没有遇到过这样的问题:1,SRAM数据总线不受控。既通过WinDriver向板卡送数据,SRAM数据总线得到的是错误的数据。比如送3、7、BH等数据,8位数据线全高,但如果送0,则全部降为低。2,SRAM地址总线部分受控。我采样地址线的低8位,其中低4位不... 全文

2003-02-12 15:50 来自版块 - 板卡设计

请问诸位用过WinDriver的高手,你们用的是不是30天试用的?我之前用过,30天过后就不能用了,注册表的信息删不掉,再安装也不行,必须与jungo联系。听有人说可以找到注册码,不知道诸位有没有。如果有的话,可以给我一个吗?不胜感激!!!

2003-02-11 09:48 来自版块 - 板卡设计

9052的配置中,NRAD,NRDD,NXDA,NWAD,NWDD Wait States在实际应用中有什么作用?它们的参数应该写多少好呢?还有Read,Write Strobe Delay和Write Cycle Hold另外,CNTRL中应该配置成什么呢?

2003-01-03 10:57 来自版块 - 板卡设计

我是初学者,现在使用MAXPLUSII,请问是用原理图方式好呢还是直接敲代码好?原理图方式我感觉还好理解一些,直接通过VHDL编程,我感觉很难理解,不够模块化,层次不清晰,比汇编还难。可能是不得法吧。还望诸位高手指点一二。

2003-01-02 16:59 来自版块 - DSP & PLD &FPGA

我的9052LOCAL端使用8位Non-Burst数据读写,我想问一下就是FPGA在与9052传输数据时,LOCAL端到底需要关心什么信号。根据DATASHEET里的时序图来看,我觉得只要有WR#,RD#就够了,其他的使能信号一概可以不用。不知诸位有过开发经验的高手们的看法如何?

2002-12-17 10:07 来自版块 - 板卡设计


返回顶部