PRS 0b R/W R/W -(read) PortResetStatus (4) When this bit is set by a write to SetPortReset, port reset signali... 全文

2008-01-09 12:11 来自版块 - USB驱动开发

我用的是ISP1563,操作系统是VxWorks.目前的情况是ISP1563是能通过PCI到内存通信区读到正确的数据,但是到了改ISP1563去获取USB设备描述符的时候,它却什么都没发出来.用USB分析仪只能收到总线上的SOF帧.这个问题一直搞不定,请大家支支招,谢谢!

2008-01-05 18:44 来自版块 - USB驱动开发

规范上说扩展板时钟CLK信号走线长度为2.5+/-0.1英寸,但是9054的RDK上的并没有这么长,这是怎么回事啊

2002-10-30 10:25 来自版块 - 板卡设计

在《PCI局部总线开发指南》中说道,连接所有的3.3V引脚和未用的5V引脚都需要耦合到地。这句话是不是错了,应该是所有未用的3.3V和5V的引脚才需要耦合到地吧。

2002-10-28 18:05 来自版块 - 板卡设计

1、9054的RDK里面电源都是通过5v变压得来的,那为什么不直接使用3.3v的呢,反正5v板和3.3v板都有+3.3v。2、对于模拟的+/-5v,+/-3.3v怎么得到呢?我想对于大家应该都是比较简单的问题,多多指点啊

2002-10-20 20:13 来自版块 - 板卡设计

1、9054中LBE#应该怎么接(局部总线是16bits)?它既可以是输入也可以是输出,分别起什么作用?2、9054接有外加FIFO,DMA传输的时候时序或者说简单的流程是怎么样的啊?就是地址信号,ADS#,LW/R,以及FIFO的读写使能等等的时序关系。送分咯!

2002-10-09 17:57 来自版块 - 板卡设计

刚才我看了看有关VtoolsD里面关于系统DMA那部分,里面的除了申请缓冲区,虚拟化某个DMA通道,设置DMA通道传输数据缓冲区的物理地址和传输的字节数,解除DMA通道屏蔽位开始传输数据,并没有地方要求提供从哪个地址开始传输,那么DMA是怎么判断从哪里去读数据的?比如五个局部地址... 全文

2002-08-22 13:38 来自版块 - 板卡设计

1、通过系统的DMA控制器8237,是否可以连续的传输数据直到一次采样的结束?2、DMA缓冲区的数据如何写到硬盘上的文件里?3、局部总线为16bits,LBE1#提供了LA[1],这时候地址总线的LA[0]由谁提供?

2002-08-21 13:46 来自版块 - 板卡设计

1、是不是一定需要配置芯片?采用默认值再用软件写入需要的配置可否?2、有那些引脚(信号)需要外加的控制逻辑,我看很多人都用了CPLD做控制信号。3、一定要外部FIFO,RAM什么的吗,我做数据采集,5MBps。新手上路,请多帮忙,谢谢!

2002-08-08 12:07 来自版块 - 板卡设计


返回顶部