luoye
驱动牛犊
驱动牛犊
  • 注册日期2003-07-18
  • 最后登录2008-10-20
  • 粉丝0
  • 关注0
  • 积分3分
  • 威望5点
  • 贡献值0点
  • 好评度4点
  • 原创分0分
  • 专家分0分
阅读:1213回复:1

FIFO的问题

楼主#
更多 发布于:2004-08-18 10:02
用QUARTUS2.2的Megafunction Plug In加入一个8bytes *  8words的FIFO,时序仿真时,在Wrreq有效后的第7个Wrclk上升沿Wrfull就跳变为有效,而Wrfull跳变FIFO就不能再写数据了<此时没有读>,此时真正写到FIFO的数据是7个,而后不写了再读,只读出了7个,那不是总要丢掉一个数据吗? 请问怎么办?
jec017
驱动太牛
驱动太牛
  • 注册日期2002-08-22
  • 最后登录2008-01-09
  • 粉丝0
  • 关注0
  • 积分91分
  • 威望10点
  • 贡献值0点
  • 好评度9点
  • 原创分0分
  • 专家分0分
沙发#
发布于:2004-08-18 11:01
加入一个8bytes *  9words的FIFO。
游客

返回顶部