roppies
驱动牛犊
驱动牛犊
  • 注册日期2001-04-24
  • 最后登录2005-03-22
  • 粉丝0
  • 关注0
  • 积分0分
  • 威望0点
  • 贡献值0点
  • 好评度0点
  • 原创分0分
  • 专家分0分
阅读:1368回复:6

急问

楼主#
更多 发布于:2002-07-25 17:24
9054RDK中的verilog描述了一个有六个状态的状态图,
若进行一次猝发式传输,要经历以下状态:
0: idle
1: cycle start
4: burst cycle wait state
5: burst cycle repeat state
6: burst cycle last state

引起状态变化的信号有: ADS_, BLAST_, ADDR_4MSBS(地址高四位)

模拟结果如下:
ADS_  1 -> 0   状态: 0 -> 1
ADS_  0 -> 1   状态: 1 -> 4 (由于此时BLAST无效,认为是猝发式传输)
BLAST_  1 -> 0   状态: 4 -> 5

要请教大虾的是:  5到6的状态转换是怎样发生的?

在我的模拟中直到下一次传输才到达6,这显然是错误的

多谢!
                
互相鼓励/互助前进
chnh
驱动小牛
驱动小牛
  • 注册日期2002-04-09
  • 最后登录2004-02-16
  • 粉丝0
  • 关注0
  • 积分0分
  • 威望0点
  • 贡献值0点
  • 好评度0点
  • 原创分0分
  • 专家分0分
沙发#
发布于:2002-07-25 19:42
根据PCI协议,在突发传输中FRAME变低表示进入传输最后一个周期
chnh
驱动小牛
驱动小牛
  • 注册日期2002-04-09
  • 最后登录2004-02-16
  • 粉丝0
  • 关注0
  • 积分0分
  • 威望0点
  • 贡献值0点
  • 好评度0点
  • 原创分0分
  • 专家分0分
板凳#
发布于:2002-07-25 19:53
对不起,应是变高
roppies
驱动牛犊
驱动牛犊
  • 注册日期2001-04-24
  • 最后登录2005-03-22
  • 粉丝0
  • 关注0
  • 积分0分
  • 威望0点
  • 贡献值0点
  • 好评度0点
  • 原创分0分
  • 专家分0分
地板#
发布于:2002-07-25 20:49
抱歉,我指的是局部总线,
也就是9054rdk上的cpld中的逻辑

与pci并没多大关系
互相鼓励/互助前进
jst7792
论坛版主
论坛版主
  • 注册日期2001-12-10
  • 最后登录2006-11-16
  • 粉丝0
  • 关注0
  • 积分3分
  • 威望1点
  • 贡献值0点
  • 好评度0点
  • 原创分0分
  • 专家分0分
地下室#
发布于:2002-07-26 08:29
几种状态的定义似乎不是很准确,如果完整的描述9054的状态变化,似乎应当再加上BTERM_,READY_才对.
兄弟们,给点分吧
roppies
驱动牛犊
驱动牛犊
  • 注册日期2001-04-24
  • 最后登录2005-03-22
  • 粉丝0
  • 关注0
  • 积分0分
  • 威望0点
  • 贡献值0点
  • 好评度0点
  • 原创分0分
  • 专家分0分
5楼#
发布于:2002-07-26 12:22
READY_ 是9054的输入信号,状态机中已经产生
BTERM_ 可以在register中设置为无效以简化操作
互相鼓励/互助前进
Link_X
驱动牛犊
驱动牛犊
  • 注册日期2003-12-15
  • 最后登录2005-11-07
  • 粉丝0
  • 关注0
  • 积分0分
  • 威望0点
  • 贡献值0点
  • 好评度0点
  • 原创分0分
  • 专家分0分
6楼#
发布于:2004-11-07 11:49
我想是不是应该把原来的:

s5: if (BLAST_)
   nextstate = s5;
else
   nextstate = s6;

改为:

s5: if ( ! BLAST_)
   nextstate = s5;
else
   nextstate = s6;

但我又觉得plx不会犯这样的错误吧,迷惑中..............
游客

返回顶部