lrx666
驱动太牛
驱动太牛
  • 注册日期2001-08-17
  • 最后登录2010-10-25
  • 粉丝0
  • 关注0
  • 积分14分
  • 威望47点
  • 贡献值0点
  • 好评度4点
  • 原创分0分
  • 专家分0分
阅读:2127回复:16

fpga能实现正玄波输出吗?有没有现成的算法,如果有,那我的方案可要更改啦

楼主#
更多 发布于:2003-03-29 16:29
请各位帮忙
lrx666
驱动太牛
驱动太牛
  • 注册日期2001-08-17
  • 最后登录2010-10-25
  • 粉丝0
  • 关注0
  • 积分14分
  • 威望47点
  • 贡献值0点
  • 好评度4点
  • 原创分0分
  • 专家分0分
沙发#
发布于:2003-03-29 16:33
我原来一直用dsp,今天来了一位fpga专家,说可以用fpga,我现在对fpga实现数学函数不太懂,望各位指点
jst7792
论坛版主
论坛版主
  • 注册日期2001-12-10
  • 最后登录2006-11-16
  • 粉丝0
  • 关注0
  • 积分3分
  • 威望1点
  • 贡献值0点
  • 好评度0点
  • 原创分0分
  • 专家分0分
板凳#
发布于:2003-03-29 19:51
如果目标函数比较固定,可以用数据表格.
兄弟们,给点分吧
lrx666
驱动太牛
驱动太牛
  • 注册日期2001-08-17
  • 最后登录2010-10-25
  • 粉丝0
  • 关注0
  • 积分14分
  • 威望47点
  • 贡献值0点
  • 好评度4点
  • 原创分0分
  • 专家分0分
地板#
发布于:2003-03-29 21:03
如果目标函数比较固定,可以用数据表格.

斑竹说详细点,我就计算一个sin值,精度够吗?
qinqiuer
驱动牛犊
驱动牛犊
  • 注册日期2003-03-30
  • 最后登录2003-03-30
  • 粉丝0
  • 关注0
  • 积分0分
  • 威望0点
  • 贡献值0点
  • 好评度0点
  • 原创分0分
  • 专家分0分
地下室#
发布于:2003-03-30 09:43
你给FPGA一个sin取值表不就完了?
lrx666
驱动太牛
驱动太牛
  • 注册日期2001-08-17
  • 最后登录2010-10-25
  • 粉丝0
  • 关注0
  • 积分14分
  • 威望47点
  • 贡献值0点
  • 好评度4点
  • 原创分0分
  • 专家分0分
5楼#
发布于:2003-03-30 10:53
你给FPGA一个sin取值表不就完了?
 

现在的技术发展真是太快啦,我一直以为fpga只能作逻辑译码呢 :)
hwzhou
驱动小牛
驱动小牛
  • 注册日期2003-01-28
  • 最后登录2004-06-14
  • 粉丝0
  • 关注0
  • 积分0分
  • 威望0点
  • 贡献值0点
  • 好评度0点
  • 原创分0分
  • 专家分0分
6楼#
发布于:2003-03-30 14:10
我也是第一次听说用FPGA产生正弦波输出。按我的理解,目前我还没有看到FPGA有模拟输出引脚。如果FPGA配合外部D/A等,倒容易理解得多。因为正弦波的数据表可以存储在FPGA内部,并按一定的时钟速度往外输出到D/A,形成精度较高的正弦波。
huttu
驱动大牛
驱动大牛
  • 注册日期2002-11-19
  • 最后登录2016-01-09
  • 粉丝0
  • 关注0
  • 积分2分
  • 威望25点
  • 贡献值0点
  • 好评度19点
  • 原创分0分
  • 专家分0分
7楼#
发布于:2003-03-30 15:25
查表可以输出,用数字合成技术可以的。
精度决定于你的表格的数据。
huttu
驱动大牛
驱动大牛
  • 注册日期2002-11-19
  • 最后登录2016-01-09
  • 粉丝0
  • 关注0
  • 积分2分
  • 威望25点
  • 贡献值0点
  • 好评度19点
  • 原创分0分
  • 专家分0分
8楼#
发布于:2003-03-30 15:27
我想要用到外部D/A的。
lrx666
驱动太牛
驱动太牛
  • 注册日期2001-08-17
  • 最后登录2010-10-25
  • 粉丝0
  • 关注0
  • 积分14分
  • 威望47点
  • 贡献值0点
  • 好评度4点
  • 原创分0分
  • 专家分0分
9楼#
发布于:2003-03-30 17:11
我想要用到外部D/A的。
忘了说了,是要接d/a的
huttu
驱动大牛
驱动大牛
  • 注册日期2002-11-19
  • 最后登录2016-01-09
  • 粉丝0
  • 关注0
  • 积分2分
  • 威望25点
  • 贡献值0点
  • 好评度19点
  • 原创分0分
  • 专家分0分
10楼#
发布于:2003-03-30 19:27
[quote]我想要用到外部D/A的。
忘了说了,是要接d/a的 [/quote]
那应该没错吧,用FPGA产生地址信号,读出sin表值,经D/A转换成正弦信号的。
用得比较多的方法是数字合成技术(DDS)的。
lrx666
驱动太牛
驱动太牛
  • 注册日期2001-08-17
  • 最后登录2010-10-25
  • 粉丝0
  • 关注0
  • 积分14分
  • 威望47点
  • 贡献值0点
  • 好评度4点
  • 原创分0分
  • 专家分0分
11楼#
发布于:2003-03-30 20:50
要是这样,也没什么新东西,dds我一直在用ad9835,放分!
petz
禁止发言
禁止发言
  • 注册日期2001-03-23
  • 最后登录2015-12-19
  • 粉丝0
  • 关注0
  • 积分-22276分
  • 威望15点
  • 贡献值0点
  • 好评度4点
  • 原创分0分
  • 专家分0分
12楼#
发布于:2003-03-31 12:23
用户被禁言,该主题自动屏蔽!
mailaoda
驱动牛犊
驱动牛犊
  • 注册日期2002-12-31
  • 最后登录2016-01-22
  • 粉丝0
  • 关注0
  • 积分68分
  • 威望11点
  • 贡献值0点
  • 好评度7点
  • 原创分0分
  • 专家分0分
13楼#
发布于:2003-05-06 12:08
搞笑里,FPGA的七值逻辑里的电平的弱高、弱低也不够你去输出正玄波,倒是把正玄波输入,输出方波对PLD/FPGA还算是特长。
zheng2002
驱动中牛
驱动中牛
  • 注册日期2002-04-17
  • 最后登录2004-12-13
  • 粉丝0
  • 关注0
  • 积分0分
  • 威望0点
  • 贡献值0点
  • 好评度0点
  • 原创分0分
  • 专家分0分
14楼#
发布于:2003-05-06 19:22
那还不是小kiss,对于fpga来说
qq:14459938 email:zheng2002@21cn.com RH9 kernel 2.4.20
huttu
驱动大牛
驱动大牛
  • 注册日期2002-11-19
  • 最后登录2016-01-09
  • 粉丝0
  • 关注0
  • 积分2分
  • 威望25点
  • 贡献值0点
  • 好评度19点
  • 原创分0分
  • 专家分0分
15楼#
发布于:2003-05-07 20:57
讲到讲去,fpga还是做一块逻辑器件在用的。

 :cool: :cool:
wuhongxing
驱动牛犊
驱动牛犊
  • 注册日期2002-04-01
  • 最后登录2004-05-31
  • 粉丝0
  • 关注0
  • 积分0分
  • 威望0点
  • 贡献值0点
  • 好评度0点
  • 原创分0分
  • 专家分0分
16楼#
发布于:2003-05-16 11:57
我做过FPGA产生数字SINE、COSIN波形的设计,即NCO
游客

返回顶部