huxuefeng
驱动牛犊
驱动牛犊
  • 注册日期2002-06-19
  • 最后登录2005-01-14
  • 粉丝0
  • 关注0
  • 积分0分
  • 威望0点
  • 贡献值0点
  • 好评度0点
  • 原创分0分
  • 专家分0分
阅读:3138回复:4

如何使用Synopsys的工具进行FPGA的综合?

楼主#
更多 发布于:2003-04-17 09:58
哪位老兄在Unix平台下用Synopsys的Design Compiler或者FPGA CompilerII做过Xilinx FPGA的Synthesis,还望不吝赐教,多谢。
huxuefeng
驱动牛犊
驱动牛犊
  • 注册日期2002-06-19
  • 最后登录2005-01-14
  • 粉丝0
  • 关注0
  • 积分0分
  • 威望0点
  • 贡献值0点
  • 好评度0点
  • 原创分0分
  • 专家分0分
沙发#
发布于:2003-04-18 08:44
其实,我的问题也是在于没有FPGA的DB库来支持。
但是,如你所言用FPGA Compiler来做,也是需要FPGA的DB库的,只有在FPGA Express Xilinx Editon或者Xilinx ISE自带综合工具下才能直接进行FPGA的综合。

不过,我还是希望能能用Design Compiler或者FPGA Compiler来做Synthesis。不知阁下是否还能给些建议。多谢多谢!
huxuefeng
驱动牛犊
驱动牛犊
  • 注册日期2002-06-19
  • 最后登录2005-01-14
  • 粉丝0
  • 关注0
  • 积分0分
  • 威望0点
  • 贡献值0点
  • 好评度0点
  • 原创分0分
  • 专家分0分
板凳#
发布于:2003-04-18 11:16
我原本是在Sun solaris环境下用的DC和FC,现在只能在Windows下用Xilinx的ISE了,不管怎么说总算是能做综合了。
游客

返回顶部