linjing
驱动小牛
驱动小牛
  • 注册日期2001-06-13
  • 最后登录2008-12-04
  • 粉丝0
  • 关注0
  • 积分0分
  • 威望0点
  • 贡献值0点
  • 好评度0点
  • 原创分0分
  • 专家分0分
阅读:1685回复:6

CPLD的双向数据线定义??

楼主#
更多 发布于:2003-06-30 15:36
我用VHDL编一个PCI局部总线的译码电路,
不知为什么我在端口定义中明明吧双向数据线定义成inout类型,
为什么我用综合工具综合时都给我搞成out型了,用了两种不同的
综合工具(leonardo,snplify)都不行,
后来改用veirlog编,定义类型就认了,很怪,
请大家分析一下。

[编辑 -  6/30/03 by  linjing]
粼粼
lllggg
驱动小牛
驱动小牛
  • 注册日期2002-05-04
  • 最后登录2007-01-07
  • 粉丝0
  • 关注0
  • 积分0分
  • 威望0点
  • 贡献值0点
  • 好评度0点
  • 原创分0分
  • 专家分0分
沙发#
发布于:2003-06-30 18:15
是不是你在VHDL里没有将该端口用作输入?
(DEEP + BROAD + SIMPLE) & delicate
linjing
驱动小牛
驱动小牛
  • 注册日期2001-06-13
  • 最后登录2008-12-04
  • 粉丝0
  • 关注0
  • 积分0分
  • 威望0点
  • 贡献值0点
  • 好评度0点
  • 原创分0分
  • 专家分0分
板凳#
发布于:2003-06-30 20:57
肯定用了,同事可以证明,
粼粼
linjing
驱动小牛
驱动小牛
  • 注册日期2001-06-13
  • 最后登录2008-12-04
  • 粉丝0
  • 关注0
  • 积分0分
  • 威望0点
  • 贡献值0点
  • 好评度0点
  • 原创分0分
  • 专家分0分
地板#
发布于:2003-06-30 21:00
这是综合时的信息报告
粼粼
guqs77
驱动小牛
驱动小牛
  • 注册日期2003-05-22
  • 最后登录2007-07-30
  • 粉丝0
  • 关注0
  • 积分20分
  • 威望2点
  • 贡献值0点
  • 好评度2点
  • 原创分0分
  • 专家分0分
地下室#
发布于:2003-07-01 14:00
虽然你定义了inout类型,但是你有没有
用到该脚作为输入信号的时候,即使用了
你输入信号有没有 对输出产生影响
如果有一个没有综合器就会忽略输入
green_pine
驱动太牛
驱动太牛
  • 注册日期2002-10-22
  • 最后登录2019-06-10
  • 粉丝3
  • 关注0
  • 积分48分
  • 威望599点
  • 贡献值1点
  • 好评度144点
  • 原创分0分
  • 专家分0分
  • 社区居民
5楼#
发布于:2003-07-02 08:07
你要确定你得inout用作了in
guqs77
驱动小牛
驱动小牛
  • 注册日期2003-05-22
  • 最后登录2007-07-30
  • 粉丝0
  • 关注0
  • 积分20分
  • 威望2点
  • 贡献值0点
  • 好评度2点
  • 原创分0分
  • 专家分0分
6楼#
发布于:2003-07-08 09:27
看了你的综合后的报告
很有可能是你的双向脚的输入用了
但是你用到的地方对输出没有任何作用,
综合器就会将其优化只做输出用了。
因为对输出没有影响的输入可认为是没有用的输入脚
游客

返回顶部