象下面这个函数,运行后在pci9054或pci9052的local端产生的时序 是怎样的?是单次写的循环16次,还是突发写16个数呢?它与pci的配置寄存器之间有什么样的关系呢?m_IoPortRange1.outb(0x0c,pBuffer,16);

2004-09-10 09:59 来自版块 - 板卡设计

象下面这个函数,运行后在pci9054或pci9052的local端产生的时序 是怎样的?是单次写的循环16次,还是突发写16个数呢?它与pci的配置寄存器之间有什么样的关系呢?m_IoPortRange1.outb(0x0c,pBuffer,16);

2004-09-10 09:56 来自版块 - 非USB硬件驱动开发

我试了burst,但是不知道为什么local的地址不递增,数据都写到函数给出的偏移基地址里了,最后写完就剩下最后一个数写到偏移基地址里。啥问题啊 ,我试用下面这个函数的,有人说9054用io/memery函数操作时,9054local不会产生burst时序的,只有在dma下才会产... 全文

2004-07-29 14:41 来自版块 - 非USB硬件驱动开发

我安装的是ds2.01 2000ddk vc6 vdw_wdm.lib已经编译成功,下面啥问题啊,好像是路径问题啊--------------------Configuration: PCI9054 - Win32 Checked--------------------Co... 全文

2004-07-22 08:41 来自版块 - 非USB硬件驱动开发

这时我从武安河的PCI9054的例程里摘出来的,他说的两种缓冲区是不是就是块传输DMA和分散集中DMA的两种虚拟地址的获取方式?还有就是我看9054的DATASHEET上好像有很多DMA的时序,但不知道具体是那些时序情况对应的是BLOCK DMA,上面有针对分散/集中模式的,但对... 全文

2004-07-21 21:22 来自版块 - 非USB硬件驱动开发

我看9054的DATASHEET上好像有很多DMA的时序,但不知道具体是那些时序情况对应的是BLOCK DMA,上面有针对分散/集中模式的,但对块传输DMA的时序感觉没有针对说明啊

2004-07-21 21:16 来自版块 - 板卡设计

请教做过pcitopci桥接的大虾,pcitocpci编写配置芯片有没有一些方便的工具?能不能给个配置的demo,谢谢email:linjing33@263.net

2004-04-01 16:02 来自版块 - 非USB硬件驱动开发

;)高分请教做过pcitopci桥接的大虾,pcitocpci编写配置芯片有没有一些方便的工具?能不能给个配置的demo,谢谢email:linjing33@263.net

2004-04-01 16:00 来自版块 - 板卡设计

如题,开机正常,可以对9052进行写操作,但写的结果不对,而且也只能写几次,然后就死机了,一进行读操作马上就死机,请分析分析.

2003-11-06 17:49 来自版块 - 板卡设计

很奇怪,是时钟的问题,还是我的eeprom型号不对?

2003-10-29 15:50 来自版块 - 开发工具使用

又遇到过这种问题的吗/是不是时钟线长短的问题引起的。

2003-10-29 15:47 来自版块 - ABC初学者

我在9052的local部分接了个altera的acex1k30,io电压3.3v,核心电压1.8v,作为译码和一些逻辑处理,在9052的数据线上既挂了这个芯片还接了两个74hc541,这样的接法会影响9052工作吗?会不会1k30的io电平不够高,9052不认作高电平。我用90... 全文

2003-10-28 20:10 来自版块 - 板卡设计

我在9052的local部分接了个altera的acex1k30,io电压3.3v,核心电压1.8v,作为译码和一些逻辑处理,在9052的数据线上既挂了这个芯片还接了两个74hc541,这样的接法会影响9052工作吗?会不会1k30的io电平不够高,9052不认作高电平。

2003-10-28 20:09 来自版块 - 非USB硬件驱动开发

我的板子插在机器上导致机器起不来,但我测到9052的局部时钟有输出主机过来的时钟也有,这样能判断机器还是在工作着的吗?

2003-10-21 10:16 来自版块 - 板卡设计

在这个模式下,io空间开放得太少只有256,有办法能多搞点吗? :( :( :( :( :( :( :( :( :(

2003-09-18 20:52 来自版块 - 板卡设计

共享一下了 ;)

2003-09-17 18:24 来自版块 - 板卡设计

我用9054做的数据采集卡前段时间调通了,这两天也没改东西,系统也没安装什么软件,插了这块卡机器就很难起来,有时能起,有时不行,大家有没有遇到这种问题?

2003-07-29 12:25 来自版块 - 板卡设计

我用9054做的数据采集卡前段时间调通了,这两天也没改东西,系统也没安装什么软件,插了这块卡机器就很难起来,有时能起,有时不行,大家有没有遇到这种问题?

2003-07-29 12:23 来自版块 - 非USB硬件驱动开发

我用VHDL编一个PCI局部总线的译码电路,不知为什么我在端口定义中明明吧双向数据线定义成inout类型,为什么我用综合工具综合时都给我搞成out型了,用了两种不同的综合工具(leonardo,snplify)都不行,后来改用veirlog编,定义类型就认了,很怪,请大家分析一下... 全文

2003-06-30 15:36 来自版块 - DSP & PLD &FPGA

请问eeprom的内容是映射道哪个地址空间了?

2003-06-26 13:05 来自版块 - 非USB硬件驱动开发


返回顶部