cmdcmd
驱动牛犊
驱动牛犊
  • 注册日期2002-02-08
  • 最后登录2005-02-04
  • 粉丝0
  • 关注0
  • 积分0分
  • 威望0点
  • 贡献值0点
  • 好评度0点
  • 原创分0分
  • 专家分0分
阅读:8636回复:5

std_logic_vector和整数怎么相加?(10分)

楼主#
更多 发布于:2003-11-27 15:46
刚刚学习VHDL,看到书上说加上
use ieee.numeric_std.all;
就可以对std_logic_vector使用加法运算了,可是我使用了之后还是编译不了,哪位指教一下?谢谢啦!!

附:VHDL代码:
signal ref_timer: std_logic_vector(8 downto 0)
...
synchronous: process(reset, clk)
begin
  if reset = \'1\' then
    ref_timer <= (others => \'0\');
  elsif clk\'event and clk = \'1\' then
    if(ref_timer = \"100111000\" ) then
      ref_timer <= ref_timer + 1;
    end if;
  end if;
end process;

quartus编译错误:
VHDL error at DRAM.vhd(84): can\'t determine definition of operator \"+\" -- found 0 possible definitions
[size=6][color=red]I Love You All.[/color][/size]
green_pine
驱动太牛
驱动太牛
  • 注册日期2002-10-22
  • 最后登录2019-06-10
  • 粉丝3
  • 关注0
  • 积分48分
  • 威望599点
  • 贡献值1点
  • 好评度144点
  • 原创分0分
  • 专家分0分
  • 社区居民
沙发#
发布于:2003-11-27 16:34
signal ref_timer:integer range 0 to 511
link_bridge
驱动巨牛
驱动巨牛
  • 注册日期2002-11-28
  • 最后登录2011-05-15
  • 粉丝0
  • 关注0
  • 积分31分
  • 威望13点
  • 贡献值0点
  • 好评度2点
  • 原创分0分
  • 专家分0分
板凳#
发布于:2003-11-27 16:42
加上:

library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;

就好了!
link_bridge
驱动巨牛
驱动巨牛
  • 注册日期2002-11-28
  • 最后登录2011-05-15
  • 粉丝0
  • 关注0
  • 积分31分
  • 威望13点
  • 贡献值0点
  • 好评度2点
  • 原创分0分
  • 专家分0分
地板#
发布于:2003-11-27 16:45
signal ref_timer: std_logic_vector(8 downto 0);
...
synchronous: process(reset, clk)
begin
  if reset = \'1\' then
    ref_timer <= (others => \'0\');
  elsif clk\'event and clk = \'1\' then

    if(ref_timer = \"100111000\" ) then
     ref_timer<=\"000000000\"; ---加上去
     else
      ref_timer <= ref_timer + 1;
     end if;

  end if;
luorenfei
驱动小牛
驱动小牛
  • 注册日期2003-02-17
  • 最后登录2006-11-30
  • 粉丝0
  • 关注0
  • 积分55分
  • 威望6点
  • 贡献值0点
  • 好评度5点
  • 原创分0分
  • 专家分0分
地下室#
发布于:2003-12-02 15:41
加上:

library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;

就好了!

我也是这样认为。
amekle
驱动牛犊
驱动牛犊
  • 注册日期2003-12-23
  • 最后登录2004-05-19
  • 粉丝0
  • 关注0
  • 积分0分
  • 威望0点
  • 贡献值0点
  • 好评度0点
  • 原创分0分
  • 专家分0分
5楼#
发布于:2003-12-31 10:27
我将以上的程序在MAXPLUS2中做了仿真,基本是对的,但发现有毛刺,从书上看,这个程序最终输出应该是经过了时钟同步的,不应该有毛刺的,不知道为什么
流宇
游客

返回顶部