jesnzhou
驱动牛犊
驱动牛犊
  • 注册日期2003-01-24
  • 最后登录2006-01-19
  • 粉丝0
  • 关注0
  • 积分17分
  • 威望3点
  • 贡献值0点
  • 好评度1点
  • 原创分0分
  • 专家分0分
阅读:894回复:1

十万火急::设计电子数字钟,编译电路原理图时有个错误

楼主#
更多 发布于:2004-05-18 16:17
制作电子数字钟,由一个24进制带进位位计数器和两个60进制带进位位计数器组成电路原理图编译时出错。Node missing scour:clk
检查接线没有错误的呀!

望各位大虾指点。谢谢。

jesnzhou
驱动牛犊
驱动牛犊
  • 注册日期2003-01-24
  • 最后登录2006-01-19
  • 粉丝0
  • 关注0
  • 积分17分
  • 威望3点
  • 贡献值0点
  • 好评度1点
  • 原创分0分
  • 专家分0分
沙发#
发布于:2004-05-18 16:26
   有人帮忙嘛?在线等啊。我附传上图。
   好心人帮助啊。
游客

返回顶部