elvis
驱动牛犊
驱动牛犊
  • 注册日期2004-11-02
  • 最后登录2005-05-16
  • 粉丝0
  • 关注0
  • 积分0分
  • 威望0点
  • 贡献值0点
  • 好评度0点
  • 原创分0分
  • 专家分0分
阅读:1572回复:0

新手还请大家多帮助!!

楼主#
更多 发布于:2005-02-19 23:38
刚刚开始用VHDL写东西遇到点麻烦还请大家多多指教!!
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;

ENTITY TEST1 is
PORT(
    CLK,INTA   :  IN   STD_LOGIC;
    SLWR   : OUT  STD_LOGIC
    );
END TEST1;

ARCHITECTURE ACHIEVE OF TEST1 IS
SIGNAL INT0 : STD_LOGIC; SIGNAL P0_SEND : STD_ULOGIC; --SIGNAL P1_SEND : STD_ULOGIC;
BEGIN
    PIP0_INT : PROCESS (INTA,P0_SEND)
    BEGIN
     IF(P0_SEND='1') THEN
       INT0<='0';
     ELSIF (INTA'EVENT AND INTA='1') THEN
       INT0<='1';
     END IF;
    END PROCESS PIP0_INT;
    
    SEND : PROCESS (CLK)
    BEGIN
     IF(CLK'EVENT AND CLK='1') THEN
      SLWR<='1';
      P0_SEND<='1';
     END IF;
     END PROCESS SEND;
END ACHIEVE;

为什么总是warning: Ignored unnecessary INPUT pin 'INTA'
我已经在第一个进程中用到了INTA!!

游客

返回顶部