制作电子数字钟,由一个24进制带进位位计数器和两个60进制带进位位计数器组成电路原理图编译时出错。Node missing scour:clk检查接线没有错误的呀!望各位大虾指点。谢谢。 在线等啊,帮忙啊,好心人!
回复(3) 2004-05-18 16:29 来自版块 - 板卡设计
表情
jesnzhoulibrary ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; entity fenpin is port( clk: in std_logic; C,B,A: out std_...(2004-05-19 11:11)
jesnzhou 谢谢楼上的,很感谢,我检查下,可以和你交流一下么?(2004-05-19 11:00)
lingma你检查你的原理图中给的pcb 封装是否与pcb库中的管脚对应一致。(2004-05-18 16:55)

返回顶部