制作电子数字钟,由一个24进制带进位位计数器和两个60进制带进位位计数器组成电路原理图编译时出错。Node missing scour:clk检查接线没有错误的呀!望各位大虾指点。谢谢。
回复(1) 2004-05-18 16:17 来自版块 - DSP & PLD &FPGA
表情
jesnzhou 有人帮忙嘛?在线等啊。我附传上图。 好心人帮助啊。(2004-05-18 16:26)

返回顶部