郁闷,异步写又出问题了。我就写6个bytes,数据明明写到了end 6 fifo 里了,因为end6的empty flag 都是显示不空了。pktend信号也给了,而且是严格按照文档上要求的不能跟slwr同时有效,fifoadr保持不变。但是control panel 就是读不进... 全文

2007-05-21 19:46 来自版块 - USB驱动开发

首先感谢wjt810907在我上一个帖子里的回复。那个帖子已经沉了,我就开个新贴。上个帖子里的问题已经解决了,抱歉没有及时来报告。休假了两个星期,回来就忘了。我现在有点感悟:对于slave fifo工作方式而言,因为固件简单,只要把寄存器设置好就不会有什么问题。关键在于exter... 全文

2007-04-18 21:05 来自版块 - USB驱动开发

XDJMS好,我现在用68013A与FPGA通信。68013A工作在slave fifo模式下,autoin,autoout,bulk transfer。现在异步读写已经测试过,工作正常。昨天开始测同步读,一个很奇怪的现象发生。当我用控制面板发送一个byte的数据给68013A时... 全文

2007-03-22 06:15 来自版块 - USB驱动开发

稀里糊涂写完了固件,也能枚举成功。但是该如何做在线仿真啊?用keil吗?开发包里的control panel除了get description和下载固件之外没别的用处了?总之,请XDJM帮忙,告诉俺该如何做仿真,具体的步骤。万分感谢!

2007-02-21 07:52 来自版块 - USB驱动开发

我在用cy7c68013a跟FPGA通信,不太明白怎么用PF,请大侠们帮忙。万分感谢!

2007-02-13 07:32 来自版块 - USB驱动开发


返回顶部