好久没来了,以前就是借助这里各位的帮助,才完成了PCI板卡的开发知道了这里的人都很优秀现在改行去做10G以太网芯片了有没有哪位大侠知道和10G Ethernet相关的网站,或者论坛?哪位仁兄如果也做这个,咱们可以切磋一下最近在研究802.3ae协议,有兴趣的大家交流一下

2005-08-06 18:17 来自版块 - 板卡设计

先说一下local端的设置: 工作在C模式,32位数据总线传输,单周期不突发。 每当ADS#有一个下降沿时,local端接受一个32位数据。不用ready#,用内部等待。dma为block dma,方向位PCI到LOCAL现在的问题是:当把一次dma传输的字节个数设置为1或2或... 全文

2005-01-30 18:49 来自版块 - PLX PCI 开发板支持

我设计的是PCI9054数据传输卡。我在Target写方式下,对LOCAL的FIFO进行数据写操作。速度设计的大约为10MB/S。 每次写的数据量少时,如100K以下,一般都没有问题。 但是,若是每次写的数据量较大,如1MB以上,偶尔就会出现死机现象。也就是数据没有写完,整个机子... 全文

2005-01-24 15:30 来自版块 - PLX PCI 开发板支持

我每次将4K的数据用Target写的方式,写到local的FIFO,然后用Target读将数据回读,以测试读写是否正确。我让上边的操作做一个循环,一般的情况下,不出现问题。但是,若循环次数比较多,例如100次以上,偶尔会出现写数据的时候死机。我分析是不是这种情况下,占用pci总线... 全文

2005-01-12 11:38 来自版块 - 板卡设计

底层软件编写怎么翻译??急等,谢谢

2004-11-01 12:20 来自版块 - 板卡设计

我的板子调通了,以我的经验看,调试pci侧时,完全可以不用管local侧,包括ready#,lohod,lohoda。我当时local侧的芯片都没装上,但系统就可以按照eeprom的值起来,别识别出板卡来。要注意的地方就是1.若没有eeprom,则eedi一定要下拉,test在进... 全文

2004-10-16 17:37 来自版块 - 板卡设计

首先祝贺,经过半个月的奋战,我的9054板卡在plxmon下调试正确其次,新问题接着来了,就是驱动该怎么办。 那个plx-sdk下的驱动能不能改改就来用,而且也提供了很多接口函数供你写应用程序。难道非要自己写个驱动程序嘛??

2004-10-14 13:09 来自版块 - 板卡设计

我的板卡能顺利找到,但装上新买的eeprom后,用plxmon无法访问eeprom,就是按下plxmon上的eeprom那个按钮后,没有什么反应,也没有死机。由于我没有烧写器,只有靠这种办法了到底plxmon能不能在线烧写??若是能够,需要什么特别的配置嘛??或者需要连些什么线嘛... 全文

2004-10-08 21:31 来自版块 - PLX PCI 开发板支持

eeprom没有焊上,本地CPLD没有连上。但已经将LHOLD和LHOLDA短接,CCS也通过10K电阻上拉,TEST通过1K电阻下拉,MODE1和MODE2也都通过1K电阻下拉。并且PCB的布线完全符合标准。机子插入板卡后,启动有如下问题:1. 若EEPROM的DI和DO悬空或... 全文

2004-09-29 12:33 来自版块 - 板卡设计

好像用plx的sdk就能直接调试板卡了(我用的是pci9054)资料上说,sdk带的有驱动驱动一定要自己写嘛??不能利用这个现成的吗???先谢谢各位

2004-09-21 10:57 来自版块 - 板卡设计

我装完driverstudio之后,怎么一重启,还没有进入windows,就出现蓝屏(不是最常看到的那个蓝屏,而是提示有错误,和ntoskrnl.exe有关),无法正常进入win2000。 我已经重装数次,也重启数次,都是一样的情况。各位大虾,谁遇到过,怎么解决阿??

2004-09-17 15:58 来自版块 - 文件系统(过滤)驱动程序开发

若vector tid(1 downto 0)="01"时,想让信号ed的值为1若vector tid(1 downto 0)="11"时,想让信号ed的值为0而且希望ed的初值为0程序若写成: IF (tid="01"... 全文

2004-08-12 12:03 来自版块 - 板卡设计

在CPLD的型号上,常常有一个速度,如15ns、10ns、5ns等,这个速度指的是什么速度阿?? 也就是这个5ns指的是完成什么动作需要的时间阿??

2004-08-05 11:22 来自版块 - 板卡设计

我想用LINT#来在PCI侧产生一个中断,但现在不知道LINT#是什么情况下引起的PCI侧中断(低电平? 下降沿? 上升沿?),还有LINT#信号应该持续多少个周期(我LOCAL用的是40M晶振)??这些好像在DATASHEET上找不到阿谁知道请说一声阿,谢谢

2004-08-02 17:49 来自版块 - 板卡设计

我要用到channal0的demand DMA模式,现在有个问题在datasheet找不到:1。 当DREQo#的信号无效后,也就是数据传输完成后,此时 DMACSR0[4]会不会被置成1?? 2。 同时能不能引起PCI侧的中断,就象BLOCK模式下传输完成后能 引... 全文

2004-08-02 16:25 来自版块 - 板卡设计

我现在要开发pci板卡的驱动了谁能给个意见,看些什么书,主要是用什么开发工具??我时间不多,希望能尽快上手。也从来没有开发驱动的经验

2004-06-28 17:45 来自版块 - 板卡设计

我不太理解地址影射这个概念,谁能大致解释一下??我在本地侧需要64k的空间,将来需要dma传输数据到此,请问怎样配置几个寄存器1. 局部地址空间0范围寄存器(LAS0RR). 是不是在这个寄存器中写入一个数据FFFF0000H,来确定64k的范围?2。局部地址空间0局部基址寄存器... 全文

2004-06-24 18:03 来自版块 - 板卡设计

我觉得9054两侧的地址信号没有直接的联系,也就是说,pci侧的地址线上的信号不会影响到local侧的地址线上的信号。两方面各自通过自己的寄存器来设置。这样的理解对不对??好想两侧的别的时序信号也没有关系,各自独立工作,对不对??

2004-06-24 17:49 来自版块 - 板卡设计

我需要一款3.3到5v的电平转换芯片,8位和16位的都需要查了好久ti公司的资料,也不知道那款好用能不能给介绍一下,急用新手,不好意思

2004-06-11 14:35 来自版块 - PLX PCI 开发板支持

我需要将3.3v电平转换到5v电平,8位和16位的都需要我查了好久ti公司的资料,可不知道到底那款好用我希望大家介绍一种容易买到的,请给出生产公司和型号一定加分,先谢谢了,急等

2004-06-10 21:29 来自版块 - USB驱动开发

最近来访

(1)

返回顶部