jansty
驱动牛犊
驱动牛犊
  • 注册日期2003-07-11
  • 最后登录2016-01-09
  • 粉丝0
  • 关注0
  • 积分1分
  • 威望8点
  • 贡献值0点
  • 好评度7点
  • 原创分0分
  • 专家分0分
阅读:1622回复:0

技术问题咨询探讨,大虾请进来看看

楼主#
更多 发布于:2007-09-30 13:30
现有一VHDL硬件设计,输入为一时钟,我想通过监测输入的时钟来给出一标志位输出信号,即:当监测到无时钟(CLK常为‘0’)时给出一输出标志位‘1’,有时钟则该输出位为‘0’。
请问各位大虾怎样实现这一设计为好?望探讨,谢了!
游客

返回顶部