daeyoung
驱动牛犊
驱动牛犊
  • 注册日期2001-11-18
  • 最后登录2003-05-23
  • 粉丝0
  • 关注0
  • 积分0分
  • 威望0点
  • 贡献值0点
  • 好评度0点
  • 原创分0分
  • 专家分0分
阅读:2615回复:13

双向 I O口怎么做?

楼主#
更多 发布于:2003-01-12 10:14
在各种端口中:
INPUT 端口
OUTPUT 端口
I/O 端口

分别怎么做??
新 生 微 电
mardux
驱动小牛
驱动小牛
  • 注册日期2002-11-21
  • 最后登录2004-09-28
  • 粉丝0
  • 关注0
  • 积分0分
  • 威望0点
  • 贡献值0点
  • 好评度0点
  • 原创分0分
  • 专家分0分
沙发#
发布于:2003-01-13 22:25
不具体,不好回答/
zheng2002
驱动中牛
驱动中牛
  • 注册日期2002-04-17
  • 最后登录2004-12-13
  • 粉丝0
  • 关注0
  • 积分0分
  • 威望0点
  • 贡献值0点
  • 好评度0点
  • 原创分0分
  • 专家分0分
板凳#
发布于:2003-01-13 22:54
找本单片机的书
看它的端口是怎么实现的
仿照就行了
qq:14459938 email:zheng2002@21cn.com RH9 kernel 2.4.20
green_pine
驱动太牛
驱动太牛
  • 注册日期2002-10-22
  • 最后登录2019-06-10
  • 粉丝3
  • 关注0
  • 积分48分
  • 威望599点
  • 贡献值1点
  • 好评度144点
  • 原创分0分
  • 专家分0分
  • 社区居民
地板#
发布于:2003-01-14 08:48
详细点,用什么实现?
yqused
驱动牛犊
驱动牛犊
  • 注册日期2002-12-23
  • 最后登录2007-08-27
  • 粉丝0
  • 关注0
  • 积分59分
  • 威望7点
  • 贡献值0点
  • 好评度5点
  • 原创分0分
  • 专家分0分
地下室#
发布于:2003-01-15 15:41
呵呵 你说的太简单了吧!!!
domore
驱动中牛
驱动中牛
  • 注册日期2002-04-06
  • 最后登录2008-04-05
  • 粉丝0
  • 关注0
  • 积分0分
  • 威望0点
  • 贡献值0点
  • 好评度0点
  • 原创分0分
  • 专家分0分
5楼#
发布于:2003-01-17 11:09
用ALTERA公司的MAX器件在内部搭吧,不困难的
liuiang
驱动牛犊
驱动牛犊
  • 注册日期2002-11-21
  • 最后登录2007-11-26
  • 粉丝0
  • 关注0
  • 积分0分
  • 威望0点
  • 贡献值0点
  • 好评度0点
  • 原创分0分
  • 专家分0分
6楼#
发布于:2003-01-25 12:05
用ALTERA公司的MAX器件在内部搭吧,不困难的


定义pin的时候可以选择,,
你用的是max-plus2吧...
被上帝遗忘的天使......
stroll
驱动小牛
驱动小牛
  • 注册日期2001-10-26
  • 最后登录2007-06-08
  • 粉丝0
  • 关注0
  • 积分161分
  • 威望17点
  • 贡献值0点
  • 好评度16点
  • 原创分0分
  • 专家分0分
7楼#
发布于:2003-02-10 15:17
 双向io比较麻烦要注意呀。
wunai326
驱动牛犊
驱动牛犊
  • 注册日期2003-05-11
  • 最后登录2003-05-11
  • 粉丝0
  • 关注0
  • 积分0分
  • 威望0点
  • 贡献值0点
  • 好评度0点
  • 原创分0分
  • 专家分0分
8楼#
发布于:2003-05-11 14:37
注意使能的使用呀!
zhanghuhu
驱动小牛
驱动小牛
  • 注册日期2002-11-30
  • 最后登录2005-02-27
  • 粉丝0
  • 关注0
  • 积分0分
  • 威望0点
  • 贡献值0点
  • 好评度0点
  • 原创分0分
  • 专家分0分
9楼#
发布于:2003-05-12 23:12
其实只要注意输出加使能就行了,用作输入时把输出禁止。
多多交流
cszhaoqm
驱动牛犊
驱动牛犊
  • 注册日期2002-12-01
  • 最后登录2016-01-09
  • 粉丝0
  • 关注0
  • 积分0分
  • 威望0点
  • 贡献值0点
  • 好评度0点
  • 原创分0分
  • 专家分0分
10楼#
发布于:2003-05-15 20:56
74ls245, 加方向选择即可,如果要隔离就要分别加光耦
amane
驱动牛犊
驱动牛犊
  • 注册日期2003-02-08
  • 最后登录2003-06-23
  • 粉丝0
  • 关注0
  • 积分0分
  • 威望0点
  • 贡献值0点
  • 好评度0点
  • 原创分0分
  • 专家分0分
11楼#
发布于:2003-06-16 15:48
想问一下,各位提供的方法能做到同时双向吗(全双工)?
yjmpepe
驱动牛犊
驱动牛犊
  • 注册日期2003-04-18
  • 最后登录2016-01-07
  • 粉丝0
  • 关注0
  • 积分0分
  • 威望0点
  • 贡献值0点
  • 好评度0点
  • 原创分0分
  • 专家分0分
12楼#
发布于:2003-06-22 13:38
我觉得应该要清楚是什么意义上的全双工。
假如是两个逻辑块之间的全双工问题不大,如果一方是mcu,那基本上是准全双工,有点类似单线程结构,顶多象cpu一样做时间片切换,不可能收发同时全速执行。
wltt163
驱动牛犊
驱动牛犊
  • 注册日期2003-03-08
  • 最后登录2005-08-23
  • 粉丝0
  • 关注0
  • 积分1分
  • 威望1点
  • 贡献值0点
  • 好评度0点
  • 原创分0分
  • 专家分0分
13楼#
发布于:2003-08-28 17:35
使用两个三态门就ok,用读写信号来控制其读写!
游客

返回顶部